VHDL基础知识笔记(2)

1.赋值语句:
(1)在VHDL中,只能在VHDL程序的并行部分进行信号说明,但是可以在VHDL的并行语句和顺序语句中同时使用信号的赋值语句。
(2)变量的说明和赋值语句只能在VHDL程序的顺序语句部分进行说明和使用,即只能出现在进程、过程和函数中。

2.case语句中的条件表达式的值必须列举穷尽,又不能重复。不能穷尽的条件表达式的值用others表示。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值