vivado仿真readmemb函数相对路径

目前常用的vivado工程的结构如下所示

prj-name
	|-xxx
	|-prj.sim
		|-sim_1
			|-behav
				|-modelsim
					|-tb_prj.do
				|-xsim
	|-prj.srcs
		|-sim_1
			|-new
				|-tb_prj.v
				|-tb_prj_mem.txt
	

一般来说我们创建的testbench文件和新建的txt文件都会放在srcs->sim_1->new这个路径下面,但是我们在vivado里面点run simulation的时候的路径是sim->sim_1->behav->xx里面,这里的xx如果用的是modelsim仿真器那么路径就是modelsim,用的vivado自带的路径就是xsim

如果在modelsim的tcl中输入pwd,就可以看到当前工作路径是sim->sim_1->behav->modelsim
在这里插入图片描述
我们如果想读取srcs下面的txt的时候,不想使用绝对路径,那么可以使用相对路径来寻找文件。

我们可以使用../来访问当前文件的上一级路径。

所以从tb_prj.do到tb_prj_mem.txt的路径应该是../../../../prj.srcs/sim_1/new/tb_prj_mem.txt

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值