EDA笔记(6)--并行语句

目录

一.进程语句

 

1.进程(PROCESS)语句

2.process语句格式

3.process语句组成

4.进程语句设计要点

二.并行信号赋值语句

1.简单信号赋值语句

2.条件信号赋值语句

3.选择信号赋值语句

三.元件例化语句

1.元件例化的作用

2.元件例化种类

3.元件例化的语句格式

四.生成语句

1.生成语句的作用

2.生成语句格式

3.生成语句的组成

4.生成语句的使用


 

一.进程语句

 

1.进程(PROCESS)语句

 

是最具VHDL语言特色的语句,因为它提供了一种用算法(顺序语句)描述硬件行为的方法。进程实际上是用顺序语句描述的一种进行过程,也就是说,进程用于描述顺序事件。
一个结构体中可以有多个并行运行的进程结构,而每一个进程的内部结构却是由一系列顺序语句来构成的。
PROCESS结构中既可以有时序逻辑的描述,也可以有组合逻辑的描述,它们都可以用顺序语句来表达。

2.process语句格式

当进程中定义的任一敏感信号发生更新时,由顺序语句定义的行为就要重复执行一次。但当遇到WAIT语句时,执行过程将被有条件地终止,即所谓的挂起。

 

3.process语句组成

 

 

4.进程语句设计要点

 

(1)同一结构体中的进程之间是并行运行的,但同一进程中的逻辑描述语句则是顺序运行的
(2)进程的激活必须由敏感信号表中定义的敏感信号的变化来启动,否则必须由一个显式的WAIT语句来激活。
(3)结构体中多个进程之所以能并行运行,一个很重要的原因就是进程之间的通信是通过传递信号和共享变量值来实现的。
(4)综合后对应于进程的硬件结构,对进程中的所有可读入信号都是敏感的,而在VHDL行为仿真中并非如此,除非将所有的读入信号列为敏感信号。

 

二.并行信号赋值语句

 

三种信号赋值语句的共同点:

赋值目标必须都是信号,所有并行赋值语句在结构体内的执行是同时发生的。

  • 3
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值