VHDL设计一个同步清零的JK触发器

1、设计一个同步清零的JK触发器,其引脚名称和逻辑功能如下表所示。
在这里插入图片描述

LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY jk IS
PORT (clk, clr, j,k : IN STD_LOGIC;
q, nq : buffer STD_LOGIC);

END jk;
ARCHITECTURE arjk OF jk IS
	BEGIN
		PROCESS(clk) is
		BEGIN
			IF (clk'EVENT AND clk='1') THEN
				IF(clr='0') THEN
					q<='0';
					nq<='1';
				ELSE
					IF((j='0') and(k='1')) THEN
						q<='0';
						nq<='1';
					ELSIF ((j='1') and(k='0')) THEN
						q<='1';
						nq<='0';
					ELSIF ((j='1') and(k='1')) THEN
						q<=NOT q;
						nq<=NOT q;
					END IF;

				END IF;
		 	END IF;

	   END PROCESS;
END arjk;
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值