FPGA实验二:计数器

本文介绍FPGA实验中关于加计数器和减计数器的实现。加计数器在reset为1时清零,enable为1时开始从0到16计数。减计数器在reset为1时置F,enable为1时开始从16自减到0。详细介绍了计数器代码、验证代码和时序图。
摘要由CSDN通过智能技术生成

FPGA实验二:计数器

加计数器

  • 当reset为1的时候,count清零
  • 当enable为1的时候,count开始计数
  • count的取值范围为0~16(4位)
  1. 计数器代码
always@(posedge clock)    \\clock函数自从芯片一开始运行就一直在运作
begin
	if(reset == 1)            \\当reset函数为1的时候把count清零
	begin
		count <= 0;
	end
	else if(enable == 1)
	begin
		count <= count + 1;   \\当enable打开时,计数开始
	end
end
  1. 验证代码
initial begin
	clock = 1;
	reset = 0;
	enable = 0;    \\一开始把clock、reset、enable、分别清零
	#5 reset  = 1;
	#10 reset = 0;   \\reset置1,把count清零
	#10 enable = 1;
	#150 enable = 0;
	#5 $finish;
end
always begin
	#5 clock =~clock;   \\定义clock函数
end
  1. 时序图:
    在这里插入图片描述

<

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值