关于modelsim仿真quartus的ROM的IP核问题

关于modelsim仿真quartus的ROM的IP核问题
在利用modelsim仿真rom的ip核的时候,会碰到数据读不出来的情况。在网上查找了很多方法,比如将mif文件转换位hex文件啊,改变rom.v中数据文件路径啊,但是都没有作用,后来在同学的帮助下,终于找到了解决方法:
在生成rom的ip核的时候,在最后一步,选择生成的文件的时候,勾选上所有的文件,在这里插入图片描述
这些文件中只有一个有用,但是具体是哪一个我也不清楚,反正都勾选上也不会有问题。
生成之后,进行quartus和modelsim的联合仿真,联合仿真很简单,设置一点东西就可以了,在网上可以找到。然后,波形就可以出来了!!!
在这里插入图片描述
手动仿真很奇怪,之前的一个工程没有问题,但是换一个工程又是读出来的数据都是0了。
希望能对大家有用!!!

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值