modelsim和quartus联合仿真PLL FIFO等IP核

联合仿真步骤:

1、quartus建立一个工程**一定要选择仿真器选择,这两个可以随便选**

module pll(
    input   clk,
    input   rst_n,
    output  clk_45p   ,
    output  clk_90p   ,
    output  clk_135p  ,
    output  clk_180p  ,
    output  clk_n45p  ,
    output  clk_n90p  ,
    output  clk_n135p ,
    output  clk_n180p
);

pll_clk u_pll_clk(
	.refclk  (clk      ),// refclk.clk
	.rst     (~rst_n   ),// reset.reset
	.outclk_0(clk_45p  ),// outclk0.clk
	.outclk_1(clk_90p  ),// outclk1.clk
	.outclk_2(clk_135p ),// outclk2.clk
	.outclk_3(clk_180p ),// outclk3.clk
	.outclk_4(clk_n45p ),// outclk4.clk
	.outclk_5(clk_n90p ),// outclk5.clk
	.outclk_6(clk_n135p),// outclk6.clk
	.outclk_7(clk_n180p) // outclk7.clk
	);


endmodule


2、建立好工程后,编译工程在这里插入图片描述
3、编写测试文件在这里插入图片描述

`timescale 1 ns/1 ns

module tb_pll();

//时钟和复位
reg clk  ;
reg rst_n;

//时钟周期,单位为ns,可在此修改时钟周期。
parameter CYCLE    = 10;

//复位时间,此时表示复位3个时钟周期的时间。
parameter RST_TIME = 20 ;


pll u_pll(
   .clk      (clk      ),
   .rst_n    (rst_n    ),
   .clk_45p  (clk_45p  ),
   .clk_90p  (clk_90p  ),
   .clk_135p (clk_135p ),
   .clk_180p (clk_180p ),
   .clk_n45p (clk_n45p ),
   .clk_n90p (clk_n90p ),
   .clk_n135p(clk_n135p),
   .clk_n180p(clk_n180p)
);


//生成本地时钟50M
initial begin
    clk = 0;
    forever
    #(CYCLE/2)
    clk=~clk;
end

//产生复位信号
initial begin
    rst_n = 1;
    #2;
    rst_n = 0;
    #(CYCLE*RST_TIME);
    rst_n = 1;
end


endmodule


4、选择仿真器和仿真文件
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
5、运行测试在这里插入图片描述
6、出结果
pll的仿真结果在这里插入图片描述

bt1120接口FIFO仿真结果
在这里插入图片描述
在这里插入图片描述

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: ModelSimQuartus可以联合仿真,实现硬件设计的验证和调试。具体步骤如下: 1. 在Quartus中生成设计文件,包括VHDL或Verilog代码、约束文件等。 2. 在Quartus中设置仿真波形,选择需要仿真的信号和时钟。 3. 在Quartus中生成仿真模型,包括仿真脚本和仿真模型文件。 4. 在ModelSim中打开仿真模型文件,加载仿真脚本。 5. 在ModelSim中运行仿真,观察仿真波形,验证设计的正确性。 需要注意的是,在联合仿真时,需要保证QuartusModelSim的版本兼容性,否则可能会出现兼容性问题。同时,也需要注意仿真波形的设置和仿真模型的生成,以保证仿真结果的准确性。 ### 回答2: ModelSimQuartus是两个常用的EDA(电子设计自动化)工具,在FPGA设计中有着广泛的应用。其中,Quartus是一个集成了各种设计工具,如原理图编辑器、综合工具、布局实现工具等的软件,用于FPGA的设计和开发。而ModelSim则是一种仿真工具,可以对电子电路的行为进行仿真。对于FPGA设计,ModelSim主要用于仿真设计的功能和性能,来验证设计的正确性。 在实际的FPGA项目开发中,通常需要进行联合仿真,即将Quartus生成的RTL代码和其它模块一同在ModelSim环境下进行仿真,以验证整个系统的正确性和性能。下面是ModelSimQuartus联合仿真的步骤: 1. 生成Quartus的RTL代码。在Quartus中完成设计后,通过综合和布局实现,生成需要仿真的RTL代码。 2. 生成QuartusModelSim仿真文件。Quartus提供了一个“Generate Testbench”命令,可以自动生成用于和ModelSim联合仿真仿真文件。通过这个命令,Quartus会生成仿真模块的顶层模块和仿真文件,包括了仿真信号的输入输出端口,以及其中的一些局部信号和时钟。 3. 添加Quartus库。由于Quartus独有的一些库函数,需要将这些库导入到ModelSim中才能使用。在ModelSim的工作区中,选择“Library”选项卡,点击“Add Library”按钮,选择Quartus的安装目录,将Quartus提供的库函数导入进来即可。 4. 运行仿真。完成前面的步骤后,就可以在ModelSim中运行这个设计的仿真了。首先,需要将仿真代码编译成可执行的模型库。选择“Compile All”命令进行编译。编译完成后,就可以运行仿真了。在ModelSim中,选择“Simulate” > “Start Simulation”命令,就可以开始仿真了。 5. 查看仿真结果。在仿真过程中,会记录下各种信号的状态变化。通过ModelSim提供的波形查看器(waveform viewer),可以查看仿真结果。通过查看波形,可以验证设计的正确性和性能,发现可能存在的问题。 总之,ModelSimQuartus联合仿真过程,需要在Quartus中生成仿真文件,添加Quartus库,然后在ModelSim进行编译和运行仿真。通过联合仿真,可以验证整个FPGA设计的正确性和性能。 ### 回答3: ModelSimQuartus是两种常用的FPGA设计工具,其中Quartus用于FPGA设计和编译设置,而ModelSim则用于FPGA设计的功能仿真。如果要实现仿真,通常需要建立测试激励文件、仿真模型以及检查波形等。对于一些复杂的FPGA设计,ModelSim可能无法满足仿真要求,这时候可以考虑使用QuartusModelSim联合仿真联合仿真的流程如下:首先在Quartus中编译设计并生成输出文件,然后使用ModelSim来读取输出文件,并在其上运行波形仿真。通过这种方法,可以很轻松地进行功能仿真,以检查设计是否按预期工作并找出错误。 在ModelSim进行仿真的优点是,它提供了更稳定的仿真环境,并可以使用Verilog、VHDL等多种仿真语言。同时,如果需要进一步分析和优化设计,可以使用ModelSim提供的调试工具来查看波形、检查寄存器和时序等信息。 然而,在进行联合仿真时也需要注意一些要点。首先,需要确保QuartusModelSim的版本兼容性,否则可能会导致仿真失败或产生错误。其次,在Quartus中设置仿真时需要勾选“NativeLink”选项,以便将仿真所需的文件和设置传递给ModelSim。最后,在ModelSim中运行仿真时需要指定仿真时钟频率,并验证仿真结果是否符合预期。 综上所述,联合仿真是一种有效的FPGA设计仿真方法,可以提高设计的准确性和可靠性。但是,需要在QuartusModelSim的版本兼容性、设置文件和仿真参数等方面仔细处理,以确保仿真结果正确。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值