14-硬件设计-RGMII接口电路

硬件设计-RGMII接口电路


RGMII采用8位数据传输,RGMII采用4位数据传输,所以这个按字面意思理解就是减少的GMII。这个是因为RGMII在时钟的上升沿和下降沿均采样数据,所以数据位减少一般的情况下还是可以达到千兆的速率。其工作时钟125兆,兼容MII规定的10/100Mbps。

1. 接口信号定义

在这里插入图片描述
在这里插入图片描述
数据传输接口:与网络变压器系相连接,提供数据的输入输出通道。
在这里插入图片描述
时钟接口:25/50M的晶振,43/43晶振的接口,46脚是内部PLL的输出接口,不用请浮空。
在这里插入图片描述
RGMII接口:与MAC链接。
在这里插入图片描述
硬复位,接1uF 100K的阻容复位电路。
在这里插入图片描述
MD通讯,读取寄存器,中断。30/31是与MAC通讯的引脚,读写寄存器。33脚开漏输出,上拉的3.3V。20脚。中断,上拉3.3。
在这里插入图片描述
地址配置,通讯配置。13/34/35地址配置引脚。17/18上拉,自动协商配置。14脚上拉至1.8,表示RGMII通讯。
在这里插入图片描述
LED0:数据传输,LED1:有链接输出低

电源,48脚接电感。38上拉3.3.
在这里插入图片描述

  1. TX_CLK: RGMII发送时钟提供125mhz,25mhz,或2.5mhz参考时钟,容差±50 ppm,具体取决于速度。
  2. TX_CTRL: RGMII发送控制。TX_EN出现在TX_CLK的上升沿。TX_EN和TX_ER出现在TX_CLK的下降沿。
  3. TXD0…3: RGMII发送数据。发送数据端口以双速率运行,数据字节的bits[3:0]在TX_CLK的上升沿发送。数据bit[7:4]在TX_CLK的下降沿发送。
  4. RX_CLK: RGMII 接收时钟提供 125 MHz、25 MHz 或 2.5 MHz 参考时钟,具有 ± 50 ppm 容差,取决于接收数据流的速度。
  5. RX_CTRL: RGMII接收控制。RX_DV出现在RX_CLK的上升沿。RX_DV和RX_ER的逻辑导数出现在RX_CLK的下降沿。
  6. RXD0.3: RGMII发送数据端。RXD[3:0]运行在双速率模式,在RX_CLK的上升沿发送bits[3:0],在数据下降沿发送bits[7:4]。
  7. RESETn: 硬件复位低有效。
  8. MDC: 串行管理接口的参考时钟。不需要连续的时钟流。最大支持12M。
  9. MDIO: 串行管理接口的数据。 此管脚需要一个上拉电阻,范围是1.5K-10K.
  10. CLK125: 125MHZ参考时钟输出

2.硬件电路设计

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  1. 避免信号走线穿越电源分割区域,保持信号参考平面完整;
  2. RGMII 模式下,MAC 的 RXD0~RXD3、RXDV 信号线长度以时钟信号 CLK 的长度为基准,控制在300mil 以内;MAC 的 TXD0~TXD3、TXEN 信号线长度以时钟信号 TXCKOUT 的长度为基准,控制在300mil 以内;
  3. RGMII 走线总长不大于 5inch;
  4. 网口 PHY 芯片到变压器的差分信号 MDI0+、MDI0-、MDI1+、MDI1、MDI2+、MDI2-、MDI3+、MDI3-差分线对长度控制在5mil,差分阻抗控制在 100Ω。
  5. 网口后方布置专用的千兆网ESD芯片。
  6. 复位电路适当远离时钟信号和TX、RX。
  7. 时钟电路尽量靠近以太网芯片,并且远离板边和高频信号
  8. 差分线换层时在不超过200mil的范围内增加回流过孔
  9. RJ45接口区域内挖空处理
  10. 机壳地与GND之间的桥接电容靠近壳体管脚放置,且走线要加粗处理。 机壳地与GND之间的的距离至少1mm以上
  11. 变压器的下方铜皮要挖空。
  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
基于FPGA(现场可编程门阵列)的百兆以太网RGMII(Reduced Gigabit Media Independent Interface)接口设计,主要是为了满足高带宽的通信需求,并且具有低延迟和高可靠性。 首先,设计者需要了解RGMII接口的工作原理和规范要求。RGMII接口是一种高速差分信号接口,用于连接以太网MAC(媒体访问控制器)和PHY(物理层转换器)之间的通信。这种接口能够支持最高1.25 Gbps的传输速率。 接下来,设计者需要选择合适的FPGA芯片来实现RGMII接口。FPGA具有灵活性和可编程性,可以根据接口规范实现差分信号的驱动和接收器电路,并提供必要的时钟源和时序控制。 设计者需要实现差分信号的发送和接收功能。差分信号包括TXD/TXCTL(发送数据/发送控制)和RXD/RXCTL(接收数据/接收控制),其中TXD和RXD是数据线,TXCTL和RXCTL是控制线。设计者需要根据接口规范实现差分信号的驱动和接收电路,并确保信号的电平和时序满足要求。 此外,设计者还需要提供时钟源。RGMII接口使用REFCLK作为时钟信号,该时钟信号通过PHY提供。设计者需要将PHY提供的时钟信号通过时钟管理电路传递给FPGA的时钟输入引脚,并进行适当的时钟分频和锁相放大器(PLL)配置。 最后,设计者需要进行验证和测试。验证可以通过使用仿真工具验证设计的正确性和性能。测试可以通过实际连接FPGA和PHY,并使用网络测试工具进行网络通信测试。设计者需要确保数据的可靠传输,并检查延迟和带宽是否满足要求。 在设计过程中,设计者还需要考虑电路布局和信号完整性问题,以最大程度地减少干扰和噪声对信号的影响。此外,设计者还需要遵守设计规范和标准,确保设计的稳定性和可靠性。 总之,基于FPGA的百兆以太网RGMII接口设计需要熟悉接口规范、选择合适的芯片、实现差分信号的发送和接收电路、提供时钟源,并进行验证和测试。这样设计出的接口能够满足高带宽通信需求,并具有低延迟和高可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值