奇数分频和偶数分频(Verilog)

奇数分频和偶数分频在FPGA中可以使用PLL很容易实现,也可以用Verilog实现奇数分频和偶数分频,偶数分频相对来说比较简单,奇数分频需要利用系统时钟的上升沿和下降沿来进行分频。奇数分频和偶数分频都是以系统时钟为基准,然后进行计数,具体什么时间翻转电平是有公式的,只要把公式记住,实现分频轻而易举:

偶数分频(50%占空比):
上升沿N/2-1翻转

奇数分频(50%占空比):
上升沿:上升沿(N-1)/2-1翻转
下降沿:下降沿(N-1)翻转

偶数分频Verilog代码:

module clk_div_even(
	input clk,
	input rst_n,
	output reg clk_div
);
	
	parameter N = 8;

	reg [7:0]cnt;
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			cnt <= 'd0;
		else if(cnt == (N/2-1))
			cnt <= 'd0;
		else
			cnt <= cnt + 1'b1;
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			clk_div <= 1'b0;
		else if(cnt == (N/2-1))
			clk_div <= ~clk_div;
		else
			clk_div <= clk_div;
	end

endmodule

仿真代码(奇数分频和偶数分频共用一个,例化时候注意模块即可):

`timescale 1ns/1ns
module clk_div_tb;

	reg clk;
	reg rst_n;
	
	wire div_clk;
	
	clk_div clk_div_inst(
		.clk		(clk		),
		.rst_n	(rst_n	),
		.div_clk	(div_clk	)
	);
	
//	clk_div_even clk_div_even_inst(
//		.clk			(clk		),
//		.rst_n		(rst_n	),
//		.clk_div		(div_clk	)
//);
	
	initial clk = 0;
	always#10 clk = ~clk;
	
	initial begin
		rst_n = 0;
		#200;
		rst_n = 1;
		#2000;
		$stop;
	end
	
endmodule

波形仿真:
在这里插入图片描述

奇数分频Verilog代码:

module clk_div(
	input    clk,
	input    rst_n,
	output   div_clk
);
	
	parameter N = 9;
	
	reg   [3:0]  cnt; 
	reg       div_clk1;
	reg       div_clk2;
	
//--------------------cnt计数-------------------//
	always @(posedge clk or negedge rst_n)begin
		if(rst_n == 1'b0)begin
			cnt <= 0;
		end
		else if(cnt == (N-1))  //N-1
			cnt <= 0;
		else begin
			cnt <= cnt + 1;
		end
	end
	
//---------------上升沿(N-1)/2-1翻转-------------//
	always @(posedge clk or negedge rst_n)begin
		if(rst_n == 1'b0)begin
			div_clk1 <= 0;
		end
		else if(cnt == ((N-1)/2-1))begin  //(N-1)/2-1
			div_clk1 <= ~div_clk1;
		end
		else 
			div_clk1 <= div_clk1;
	end
	
//----------------下降沿(N-1)翻转-----------------//
	always @(negedge clk or negedge rst_n)begin
		if(rst_n == 1'b0)begin
			div_clk2 <= 0;
		end
		else if(cnt == (N-1))begin   //N-1
			div_clk2 <= ~div_clk2;
		end
		else 
			div_clk2 <= div_clk2;
	end
	
//----------------两个时钟做异或-----------------//
	assign div_clk = div_clk2 ^ div_clk1;

endmodule 

波形仿真:
在这里插入图片描述

  • 6
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Verilog分频计数器是一种用于对系统时钟进行分频处理的电路。根据引用\[1\]和引用\[2\]的描述,分频器可以分为偶数分频奇数分频两种方式。 对于偶数分频,最简单的二分频可以通过在原时钟的上升沿进行输出信号翻转来实现。而对于更高的偶数分频比如4分频,可以使用计数器,在计数器计到2个上升沿的时候进行输出信号翻转。具体来说,计数器的范围为0-(N-1),其中N为偶数,选择一个值K,当计数器在0~K范围内时,输出信号为低电平;在(K+1)-(N-1)范围内为高电平,从而实现了分频。这样的偶数分频器可以通过参数化设计来灵活地改变分频比例\[1\]。 对于奇数分频,最简单的三分频无法直接使用计数器进行实现。根据引用\[2\]的描述,正确的思路是取两路上升沿和下降沿信号,然后对这两路信号取或。具体来说,可以使用计数器在0-2之间循环计数,控制输出1个高电平,2个低电平的信号1,然后将信号1延迟半个周期得到信号2,最后将信号1与信号2相与得到50%占空比的3分频信号。对于其他奇数分频,可以使用类似的方法进行设计\[2\]。 根据引用\[3\]的例子,如果系统时钟为50MHz,要产生1MHz的时钟,则可以进行50分频。可以使用计数器进行实现,当计数器计到49次时清零,否则进行+1计数。同时,根据计数器的值,可以控制输出信号的翻转,从而得到1MHz的时钟频率。 综上所述,Verilog分频计数器可以根据需要选择偶数分频奇数分频的方式,并通过计数器和组合逻辑来实现所需的分频比例。 #### 引用[.reference_title] - *1* *3* [Verilog基础知识-——计数器设计以及任意分频设计与modelsim仿真](https://blog.csdn.net/H19981118/article/details/115353714)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Verilog分频器](https://blog.csdn.net/qq_39586852/article/details/125836784)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值