【综合_自动贩售机】

自动贩售机

(1)自动贩售机Ⅰ

设计一个自动贩售机,输入货币有三种,为0.5/1/2元,饮料价格是1.5元,要求进行找零,找零只会支付0.5元。

注意:投入的货币会经过边沿检测并输出一个在时钟上升沿到1,在下降沿到0的脉冲信号。

  • 状态转移图

在这里插入图片描述

  • verilog代码
module seller1(
		input  clk,
		input  rst_n,
		input  d1,        // ¥0.5
		input  d2,		  // ¥1.0
		input  d3,        //¥2.0
		output reg out1,   // drink
		output reg [1:0] out2	  // charge
);

parameter     IDLE    = 3'b000,
					  S0_5   = 3'b001,
					  S1_0   = 3'b010,
					  S1_5   = 3'b011,
					  S2_0    = 3'b100,
					  S2_5    = 3'b101,
					  S3_0    = 3'b111;
reg[2:0] cs, ns;

always@(posedge clk, nege
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值