【SV_时钟块】

时钟块

时钟块可以在driver和monitor等多处使用。在driver中使用时,既利用了时钟块的驱动延迟特性,也利用了时钟块的稳定采样特性。要注意的是,时钟块中的采样(输入)信号较接口中的原始信号晚一拍,这是由于时钟块本身就是基于时钟沿做了稳定采样后将信号的值保留下来的。 在driver中,采用的接口中的信号与时钟块的信号之间有一拍的延迟。

  • 举例

以AXI interface为例,m_drv_cb是master driver的时钟块,s_drv_cb是slave driver的时钟块,mon_cb是monitor的时钟块。

在这里插入图片描述

以写传输为例,这里只列出了个别信号做分析。其中橙色标记的信号为所在clock blocking的驱动(输出)信号,黄色标记的信号为所在clock blocking的采样(输入)信号。

标记1-4分别为连续四个ACLK上升沿的delta cycle。

  • 首先在第一个ACLK的上升沿,master driver通过m_drv_cb依次驱动AWID、AWADDR、AWVALID,此时在interface上可以反应出这几个信号的变化。但对于s_drv_cb和mon_cb时钟块来说这几个采样(输入)信号是在第一个ACLK上升
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值