【5】乘法器

乘法器

两数相乘,本质上是执行的加法运算。假设被乘数为A,乘数为B,位宽均为size,那么两数相乘的积的位宽不会超过2*size。积mult_out的结果是将B的低位到高位依次和A相乘并移位相加的结果,所以最后是size个数相加。举一个简单的4-bit 乘 4-bit地例子:

在这里插入图片描述

由上图可见,积(product)是由乘数(multiplier)由低到高比特与被乘数(multiplicand)相乘并相加得出的结果。

  • verilog代码
module mult_pipe #( parameter size=4)(
    input clk,
    input rst_n,
	input [size-1:0] mult_a,
	input [size-1:0] mult_b,
	output[2*size-1:0] mult_out
);

reg[2*size-1:0] mult_add[0:size-1];  //存放mult_b每个bit与mult_a相乘后移位的结果
integer i;
//=====================phase0=====================
always@(posedge clk, negedge rst_n)begin
	if(!rst_n)begin
		for(i=0; i<size; i=i+1)begin
			mult_add[i] <= 'b0;
		end
    end
    else begin
		for(i=0; i<size; i=i+1)begin
			mult_add[i] <= mult_b[i]? mult_a<<i:'b0;
		end
    end
end

reg[2*size-1:0] mult_res[0:size-1];
integer j;
always@(*)begin
	for(j=0; j<size; j=j+1)begin
		if(0==j)begin
			mult_res[j]  <= mult_add[j];	
		end
		else begin
			mult_res[j]  <= mult_res[j-1] + mult_add[j]; 
		end
   end
end

//======================phase1============================
always@(posedge clk, negedge rst_n)begin
	if(!rst_n)begin
		mult_out <= 'b0;
	end
	else begin
		mult_out <= mult_res;
	end	
end

endmodule
  • 仿真结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值