数电和Verilog-时序逻辑实例一:触发器

该博客介绍了使用Verilog进行时序逻辑设计,重点讲解了D触发器和带低电平复位功能的D触发器的实现。在测试模块中进行了仿真,并通过运行run.do脚本来查看仿真日志和波形,以验证设计的正确性。
摘要由CSDN通过智能技术生成

A.14 时序逻辑实例一:触发器

设计模块

D触发器
//文件路径:a.14/src/dff.v
module dff(clk,din,dout);
  input clk;
  input din;
  output reg dout;

  always@(posedge clk)begin
    dout <= din;
  end

endmodule
带低电平复位的D触发器
//文件路径:a.14/src/dff_rst.v
module dff_rst(clk,rst_n,din,dout);
  input clk;
  input rst_n;
  input din;
  output reg dout;

  always@(posedge clk)begin
    if(!rst_n)
      dout <= 1'b0;
    else
      dout <= din;
  end

endmodule

测试模块

//文件路径:a.14/sim/testbench/demo_tb.sv

module top;
  logic clk;
  logic rst_n;
  logic dff_din;
  logic dff_dout;
  logic dff_rst_dout;
  
  dff DUT_dff(.clk(clk),.din(dff_din),.dout(dff_dout));
  dff_rst DUT_dff_rst(.cl
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值