UVM:启动sequence的方法

参考UVM实战6.1.2

  • 直接调用start任务启动
my_sequence my_seq;
my_seq = my_sequence::type_id::creat("my_seq");
my_seq.start(sequencer);

my_seq的实例化采用type_name::type_id::creat()方式,使用factory机制注册过的类才能使用这种方式实例化,这样才能使用factory机制中最强大的功能。(UVM实战2.3.2)

  • 还可以用default_sequence启动,本质上还是调用start任务
uvm_config_db#(uvm_object_wrapper)::set(this,
									"env.i_agt.sqr.main_phase",
									"default_sequence",
									"case0_sequence::type_id::get()"

config_db机制用于UVM验证平台之间传递参数,它们通常成对出现,set函数寄信,get函数收信。
第一第二个参数是相对此实例的路径,三是一个记号,set和get必须一样才能接收,四位要设置的值。传递virtual_interface时第一个参数一般为null。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值