UVM:启动sequence的方法

本文介绍了UVM中如何通过factory机制实例化并启动sequence,以及利用config_db进行参数传递。start任务用于启动sequence,而default_sequence可以通过config_db设置,在main_phase中自动启动。config_db的set和get函数用于在组件间传递参数,路径和标识符需匹配。
摘要由CSDN通过智能技术生成

参考UVM实战6.1.2

  • 直接调用start任务启动
my_sequence my_seq;
my_seq = my_sequence::type_id::creat("my_seq");
my_seq.start(sequencer);

my_seq的实例化采用type_name::type_id::creat()方式,使用factory机制注册过的类才能使用这种方式实例化,这样才能使用factory机制中最强大的功能。(UVM实战2.3.2)

  • 还可以用default_sequence启动,本质上还是调用start任务
uvm_config_db#(uvm_object_wrapper)::set(this,
									"env.i_agt.sqr.main_phase",
									"default_sequence",
									"case0_sequence::type_id::get()"

config_db机制用于UVM验证平台之间传递参数,它们通常成对出现,set函数寄信,get函数收信。
第一第二个参数是相对此实例的路径,三是一个记号,set和get必须一样才能接收,四位要设置的值。传递virtual_interface时第一个参数一般为null。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
uvm中,sequence启动有两种方式:default_sequence启动方式和非default_sequence启动方式。 1. default_sequence启动方式:这种方式是最常用的启动sequence方法,它会隐式地调用start函数来启动sequence。在sequencer的main_phase中,可以使用以下代码启动sequence: ```verilog task my_sequencer::main_phase(phase); seq.starting_phase = phase; seq.start(this); endtask ``` 在sequence的body函数中,可以使用以下代码控制仿真时间并启动sequence: ```verilog task my_sequence::body(); if(starting_phase != null) starting_phase.raise_objection(this); // 执行sequence的内容 if(starting_phase != null) starting_phase.drop_objection(this); endtask ``` 2. 非default_sequence启动方式:在不使用default_sequence启动方式时,可以通过uvm_config_db来配置并启动sequence。以下是一个示例代码: ```verilog uvm_config_db#(uvm_object_wrapper)::set(this,"env.i_agt.sqr.main_phase","default_sequence",my_sequence::type_id::get()); ``` 上述代码将my_sequence作为default_sequence配置到env.i_agt.sqr.main_phase中,并在main_phase中启动sequence。 总结起来,uvm sequence启动方式可以通过default_sequence启动方式或者非default_sequence启动方式来实现。在具体的代码中,可以根据需要调用start函数或者使用uvm_config_db来配置并启动sequence。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [UVM启动sequence方法总结](https://blog.csdn.net/weixin_44969124/article/details/108249095)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【UVMsequence启动方式](https://blog.csdn.net/Holden_Liu/article/details/102757625)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值