UVM中sequence的两种启动方式

第一种:
当完成一个sequence的定义后,可以使用start任务将其启动:

task my_env::main_phase(uvm_phase phase);
   my_sequence seq;                            //
   phase.raise_objection(this);
   seq = my_sequence::type_id::create("seq");  //或者 seq = new("seq");
   seq.start(i_agt.sqr);                       //
   phase.drop_objection(this);
endtask

第二种:
可以使用default_sequence启动。实际上default_sequence会调用start任务,有两种调用方式;
1)default_sequence启动方式

function void my_case0::build_phase(uvm_phase phase);
   super.build_phase(phase);
   uvm_config_db#(uvm_object_wrapper)::set(this,                           //
                                           "env.i_agt.sqr.main_phase",     //
                                           "default_sequence",             //
                                           case0_sequence::type_id::get());//
endfunction

2)default_sequence启动方式

function void my_case0::build_phase(uvm_phase phase);
   case0_sequence cseq;                                              //
   super.build_phase(phase);
   cseq = new("cseq");                                               //
   uvm_config_db#(uvm_sequence_base)::set(this,                      //
                                         "env.i_agt.sqr.main_phase", //
                                         "default_sequence",         //
                                          cseq);                     //
endfunction
  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值