VGA显示

 

 

         本设计为VGA接口的verilog驱动实现程序,设计以800*600像素为例显示一个静态图形:边框宽度为20像素的矩形边框和宽度为30像素的正方形区域。

module vga(
    clk, rst_n, hsync, vsync, vga_r, vga_g, vga_b
);

input   clk;
input   rst_n;
output  hsync;
output  vsync;
output  vga_r;
output  vga_g;
output  vga_b;

reg[11:0]   x_cnt;
reg[11:0]   y_cnt;
//-----------------------------------------------
//120+64+800+56 = 1040
//------------------------------------------------
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        x_cnt <= 0;
    else if(x_cnt == 11'd1040-1)
            x_cnt <= 0;
         else
            x_cnt <= x_cnt + 1'b1;
end

//-------------------------------------------------
//6+23+600+37= 666
//------------------------------------------------
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        y_cnt <= 0;
    else if((y_cnt == 10'd666-1) && (x_cnt == 11'd1040-1))
            y_cnt <= 0;
         else if(x_cnt == 11'd1040-1)
                y_cnt <= y_cnt + 1'b1;
end

//--------------------------------------------------------
//x[184, 983]  y[29, 628]
//--------------------------------------------------------
wire        valid;
wire[9:0]   xpos, ypos;

assign valid = (x_cnt > 11'd183) && (x_cnt < 984) && (y_cnt > 10'd28) && (y_cnt < 10'd629);
assign xpos = x_cnt - 11'd183;
assign ypos = y_cnt - 10'd28;

//-----------------------------------------------------
//hsync,  vsync
//-----------------------------------------------------
reg hsync, vsync;

always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        hsync <= 1'b0;
    else if(x_cnt == 11'd1039)
            hsync <= 1'b0;
         else if(x_cnt == 11'd119)
                hsync <= 1'b1;
end
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        vsync <= 1'b0;
    else if((y_cnt == 11'd665) && (x_cnt == 11'd1039))
            vsync <= 1'b0;
         else if((y_cnt == 11'd5) && (x_cnt == 11'd1039))
                vsync <= 1'b1;
end

//------------------------------------------------------
//-----------------------------------------------------
wire a_dis, b_dis, c_dis, d_dis;

assign a_dis = (xpos >= 200) && (xpos <= 220) && (ypos >= 140) && (ypos <= 460);
assign b_dis = (xpos >= 580) && (xpos <= 600) && (ypos >= 140) && (ypos <= 460);
assign c_dis = (xpos >= 220) && (xpos <= 580) && (ypos >= 140) && (ypos <= 160);
assign d_dis = (xpos >= 220) && (xpos <= 580) && (ypos >= 440) && (ypos <= 460);

//---------------------------------------------------------------
//-----------------------------------------------------------------
wire e_rdy;

assign e_rdy = (xpos >= 385) && (xpos <= 415) && (ypos >= 285) && (ypos <= 315);

//-------------------------------------------------------------------------
//------------------------------------------------------------------------
assign vga_r = valid ? e_rdy : 1'b0;
assign vga_g = valid ? (a_dis || b_dis || c_dis || d_dis) : 1'b0;
assign vga_b = valid ? ~(a_dis || b_dis || c_dis || d_dis) : 1'b0;

endmodule

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jealky

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值