DC(Tcl脚本)

指定工艺库

set search_path{xxx}

set target_library{xxx.db}

set link_library{*xxx.db}

set symbol_library{xxx.sdb}

读入设计文件

read xxx.v

时钟约束

creat_clock

set_clock_latency

set_clock_uncertainty

set_clock_transition

set_dont_touch_network {xxx}

输入输出延时约束

set_input_delay

set_output_delay

设计规则约束

set_max_leakage -power 0mw

set_max_area 0

综合

compile

输出报告

report_area

report_constraint

report_timing

 

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jealky

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值