指定工艺库
set search_path{xxx}
set target_library{xxx.db}
set link_library{*xxx.db}
set symbol_library{xxx.sdb}
读入设计文件
read xxx.v
时钟约束
creat_clock
set_clock_latency
set_clock_uncertainty
set_clock_transition
set_dont_touch_network {xxx}
输入输出延时约束
set_input_delay
set_output_delay
设计规则约束
set_max_leakage -power 0mw
set_max_area 0
综合
compile
输出报告
report_area
report_constraint
report_timing