描述
实现数据位宽转换电路,实现8bit数据输入转换为16bit数据输出。其中,先到的8bit数据应置于输出16bit的高8位。
电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。
`timescale 1ns/1ns
module width_8to16(
input clk ,
input rst_n ,
input valid_in ,
input [7:0] data_in ,
output reg valid_out,
output reg [15:0] data_out
);
reg [7:0] data_reg;
reg cnt;
always@(posedge clk or negedge rst_n)begin
if(!rst_n)
cnt<=0;
else if(valid_in)begin
if(cnt==1)
cnt<=0;
else
cnt<=cnt+1;
end
else
cnt<=cnt;
end
always@(posedge clk or negedge rst_n)begin
if(!rst_n)
valid_out<=0;
else if (valid_in & (cnt==1))
valid_out<=1;
else
valid_out<=0;
end
always@(posedge clk or negedge rst_n)begin
if(!rst_n)begin
data_reg<=0;
data_out<=0;end
else begin
if(valid_in==1 & cnt==0)begin
data_reg<=data_in;
data_out<=data_out;
end
else if(valid_in==1 & cnt==1)begin
data_reg<=8'b0;
data_out<={data_reg,data_in};
end
end
end
endmodule