VL34 整数倍数据位宽转换8to16

描述
实现数据位宽转换电路,实现8bit数据输入转换为16bit数据输出。其中,先到的8bit数据应置于输出16bit的高8位。

电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。
在这里插入图片描述

`timescale 1ns/1ns

module width_8to16(
	input 				   clk 		,   
	input 				   rst_n		,
	input				      valid_in	,
	input	   [7:0]		   data_in	,
 
 	output	reg			valid_out,
	output   reg [15:0]	data_out
);

reg [7:0] data_reg;
reg       cnt;

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		cnt<=0;
	else if(valid_in)begin
		if(cnt==1)
			cnt<=0;
		else
			cnt<=cnt+1;
	end
	else
		cnt<=cnt;
end	

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		valid_out<=0;
	else if (valid_in & (cnt==1))
		valid_out<=1;
	else 
		valid_out<=0;
end


always@(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		data_reg<=0;
		data_out<=0;end

	else begin
		if(valid_in==1 & cnt==0)begin
			data_reg<=data_in;
			data_out<=data_out;
			end
		else if(valid_in==1 & cnt==1)begin
			data_reg<=8'b0;
			data_out<={data_reg,data_in};
			end


	end
	
end

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值