VL34 整数倍数据位宽转换8 to 16

`timescale 1ns/1ns
module width_8to16(
	input 	clk,   
	input 	rst_n,
	input	valid_in,
	input	[7:0]	data_in,
 
 	output	reg	        valid_out,
	output  reg [15:0]	data_out
);

reg  [7:0] data_buffer;  //用于缓冲每组的第1个数
reg  buffer_flag;  // 缓冲就位与否标志

//  将每组的第1个数暂存进data_buffer
always @(posedge clk or negedge rst_n ) begin
	if(!rst_n) 
		data_buffer <= 'd0;
	else if(valid_in && !buffer_flag)
		data_buffer <= data_in;
end

// 描述缓冲状态buffer_flag逻辑
always @(posedge clk or negedge rst_n ) begin
	if(!rst_n) 
		buffer_flag <= 'd0;
	else if(valid_in)
		buffer_flag <= ~buffer_flag;
end

// 描述输出结果valid_out有效逻辑
always @(posedge clk or negedge rst_n ) begin
	if(!rst_n) 
		valid_out <= 'd0;
	else if(valid_in && buffer_flag)
		valid_out <= 1'd1;
	else
		valid_out <= 'd0;
end

// 描述数据转换逻辑
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) 
		data_out <= 'd0;
	else if(valid_in && buffer_flag)
		data_out <= {data_buffer, data_in};
end

endmodule

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值