Lemmings3

在这里插入图片描述

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    input dig,
    output walk_left,
    output walk_right,
    output aaah,
    output digging ); 
    
    reg [2:0] state,next_state;
    parameter left=0, dig_l = 1, fall_l=2, right=3, dig_r=4,fall_r=5;
    
    always @(*)
        begin
            case(state)
                left: begin
                    if(!ground)
                        next_state = fall_l;
                    else if(dig)
                        next_state = dig_l;
                    else
                        next_state = bump_left ? right : left;
                end
                dig_l:begin
                    if(!ground)
                        next_state = fall_l;
                    else
                        next_state = dig_l;
                end
                
                fall_l:begin
                    if(!ground)
                        next_state = fall_l;
                    else
                        next_state = left;
                end
                right:begin
                    if(!ground)
                        next_state = fall_r;
                    else if(dig)
                        next_state = dig_r;
                    else
                        next_state = bump_right ? left : right;
                end
                dig_r:begin
                    if(!ground)
                        next_state = fall_r;
                    else
                        next_state = dig_r;
                end
                fall_r:begin
                    if(!ground)
                        next_state = fall_r;
                    else
                        next_state = right;
                end
            endcase
        end
    
    always @(posedge clk, posedge areset)
        begin
            if(areset)
                state <= left;
            else
                state <= next_state;
        end

    assign walk_left = (state == left);
    assign walk_right = (state == right);
    assign aaah = (state == fall_l | state == fall_r);
    assign digging = (state == dig_l | state == dig_r);
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值