lemmings3

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    input dig,
    output walk_left,
    output walk_right,
    output aaah,
    output digging ); 
    
    parameter left=3'd0;
    parameter dig_left=3'd1;
    parameter fall_left=3'd2;
    parameter right=3'd3;
    parameter dig_right=3'd4;
    parameter fall_right=3'd5;
    
    
    reg[2:0] state,next_state;
    
    always@(*) begin
        case(state)
            left:begin
                if(ground==0)
                    next_state=fall_left;
                else begin
                    if(dig==1)
                        next_state=dig_left;
                    else if(bump_left==1||bump_left&bump_right==1)
                        next_state=right;
                    else
                        next_state=left;
                end
            end
            right:begin
                if(ground==0)
                    next_state=fall_right;
                else begin
                    if(dig==1)
                        next_state=dig_right;
                    else if(bump_right==1||bump_left&bump_right==1)
                        next_state=left;
                    else
                        next_state=right;
                end
            end
            dig_left:begin
                if(ground==1)
                    next_state=dig_left;
                else
                    next_state=fall_left;
            end
            fall_left:begin
                if(ground==1)
                    next_state=left;
                else
                    next_state=fall_left;
            end
            dig_right:begin
                if(ground==1)
                    next_state=dig_right;
                else
                    next_state=fall_right;
            end
            fall_right:begin
                if(ground==1)
                    next_state=right;
                else
                    next_state=fall_right;
            end
        endcase
    end
    always@(posedge clk or posedge areset) begin
        if(areset==1)
            state<=left;
        else
            state<=next_state;
    end
    assign walk_left=(state==left);
    assign walk_right=(state==right);
    assign digging=((state==dig_left)|(state==dig_right));
    assign aaah=((state==fall_left)|(state==fall_right));

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值