【VHDL】计数器实现2-4-8分频

本文介绍了如何使用VHDL设计一个2-4-8分频器,该分频器能够将高频率信号转换为频率更低、占空比为50%的信号。通过定义数据并按位计数,实现了不同输出频率。仿真结果显示了计数器的正确工作。
摘要由CSDN通过智能技术生成

计数器实现2-4-8分频

  1. 分频器:对较高频率的信号进行分频,得到较低频率的信号,本质是计数器
  2. 类似于2-4-8分频的问题有以下两个特点:
    、二的指数倍 -----------
    、占空比为50% ------------
    一般的解决方式 :定义一个数据,按照标准逻辑位计数,对其最低位,低位,次高位,高位…进行数据输出。
  3. 占空比:在一段连续工作时间内脉冲占用的时间与总时间的比值

程序:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT_248 IS
PORT(CLK
  • 3
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值