【VHDL】状态机设计——moore型

完成自动售货机的VHDL设计。要求:有两种硬币:1元或5角,
投入1元5角硬币输出货物,投入2元硬币输出货物并找5角零钱

程序:

library ieee;
use ieee.std_logic_1164.all;

entity sale is
port(clk: in std_logic;
	rst:in std_logic;
	input:in std_logic_vector(1 downto 0);
	output:out std_logic_vector(2 downto 0));
end entity;

architecture one of sale is
type STATE is (S0,S1,S2,S3,S4);
SIGNAL CURRENT_STATE,NEXT_STATE:STATE;
begin
	REG:PROCESS(clk,rst
  • 3
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值