【VHDL】基础知识总结(2)——语句篇

本文详细介绍了VHDL中的语句类型,包括赋值语句的信号和变量赋值,转向控制语句如IF、CASE、LOOP、NEXT和EXIT的应用,以及等待、空操作、断言、子程序调用和返回语句。通过实例展示了IF语句的单选、二选和多选控制,以及LOOP语句的不同形式。这些内容是理解VHDL程序设计的关键。
摘要由CSDN通过智能技术生成

顺序语句并行语句是VHDL程序中两大基本描述语句**

赋值语句

  1. 信号赋值
    全局性,延迟,
  2. 变量赋值
    局部量,无延迟
    在这里插入图片描述

转向控制语句

1. IF语句

if语句的单选控制
if语句的二选控制
if语句的多选控制(嵌套)—具有优先级
在这里插入图片描述
示例:
在这里插入图片描述

2. CASE语句

case语句是另外一种形式的条件控制语句,具备if语句的类似功能
格式:
在这里插入图片描述
注意:

  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值