SV学习笔记—类型转换

1.静态转换 

        静态转换操作不对转换值进行检查,格式是type'(value):

program test;
    initial begin
        $display("int'(42+1.1) is",int'(42+1.1));//将43.1强制转换为整型,四舍五入

        $display("(42+1.1) is",(42+1.1));//SV默认为浮点型
        $display("real'(42+1.1) is",real'(42+1.1));
    end
endprogram

仿真结果:

2.动态转换

        动态转换函数$cast允许对越界的数值进行检查,即如果转换成功,返回1,否则返回0,示例可见SV学习笔记—多态与类型转换

3.流操作符

基本流操作:

仿真结果: 

 

 使用流操作符进行队列的转换:

仿真结果:

 

 使用流操作在结构体和数组间进行转换:

仿真结果:

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值