UVM——field automation机制

filed automation机制

UVM field automation机制是为了方便用户对事物进行打印、复制、打包、解压、比较、记录等一些列功能而建立的一套服务机制,即使用UVM内建的函数对事务进行处理。

要使用UVM field automation机制,在事务中对数据使用`uvm_field_*进行注册,例如

class my_transaction extends uvm_sequence_item;

    rand bit [3:0] sa;
    rand bit [3:0] da;
    rand reg [7:0] payload[$];

    `uvm_object_utils_begin(my_transaction)
        `uvm_field_int(sa, UVM_ALL_ON);
        `uvm_field_int(da, UVM_ALL_ON);
        `uvm_field_queue_int(payload, UVM_ALL_ON);
    `uvm_object_utils_end

    constraint Limit{
        sa inside { [0:15] };
        da inside { [0:15] };
        payload.size() inside { [2:4] };
    }

    function new(string name = "my_transaction");
        super.new(name);
    endfunction

endclass

在这里插入图片描述

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值