UVM:field automation 机制


该机制很简单,考虑到平台中很多组件、尤其是transaction都可能涉及到复制、比较、打印等方法,如果为每个class都定义一遍copy、compare、print等方法就太繁琐了。因此UVM给出了field automation机制。

译作域自动化机制,该机制可以理解为:对于transaction extends uvm_sequence_item,使用uvm_field宏注册的transaction属性,可以使用一些uvm内置的方法

1. uvm_field宏注册

field automation机制使用前需要使用uvm_field宏进行注册,不同数据结构有不同的注册方式

注意在使用uvm_field宏注册前,需要对transaction进行factory注册,一个transaction例子如下

class transaction extends uvm_sequence_item;
	rand bit [47:0] dmac;
	rand bit 
  • 7
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Starry丶

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值