UVM field automation

field automation机制在UVM中非常重要,主要是牵扯到打印,比较,复制,打包以及解包等操作。看下面一段代码package UVM_cmd; import uvm_pkg::*' `include "uvm_macros.svh" class obj extends uvm_object; int a; `uvm_object_u...
摘要由CSDN通过智能技术生成

field automation机制在UVM中非常重要,主要是牵扯到打印,比较,复制,打包以及解包等操作。看下面一段代码

package   UVM_cmd;
        import uvm_pkg::*'
		`include "uvm_macros.svh"
		class  obj  extends uvm_object;
		   int a;
		   `uvm_object_utils_begin(obj)
		      `uvm_field_int(a,UVM_ALL_ON)
		   `uvm_object_utils_end
		   function new(string name);
		      super.new(name);
		   endfunction
		endclass
		class my_test extends uvm_test;
		    obj obj_client;
		   `uvm_component_utils(my_test)
		   function new(string name,uvm_component parent);
		      super.new(name,parent);
			  
		   endfunction
           function void build_phase(uvm_phase phase);
		      super.build_phase(phase);
			  obj_client=obj::type_id::create("obj_client");
			  obj_client.a=10;
			  obj_client.print();
			  
   		   endfunction
		endclass
endpackage

首先要弄明白uvm_object_utils(T)和uvm_object_utils_begin(T)的区别,看下面的解释

`define uvm_object_utils(T) \
  `uvm_object_utils_begin(T) \
  `uvm_object_utils_end

所有者两类宏完全一样,都可以实现factory注册,那么怎么区别使用,当我们要实现field automation机制时,我们使用下面这种模式

  `uvm_object_utils_begin(T) \
  `uvm_object_utils_end

我们展开宏uvm_object_utils_begin(T)

`define uvm_ob
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值