【无标题】


一.Quartus-II 13安装

Quartus-II 13安装及使用

二、modelsim安装

modelsim安装及使用
若使用modelsim版本较高,则在Quartus-II 13中使用时会出现以下错误
在这里插入图片描述
这是由于modelsim在更新后,quartus生成的do文件中-novopt参数失效,以下为官方文档介绍
在这里插入图片描述

三、D触发器

1.原理图

在这里插入图片描述

2.创建项目实现d触发器

新建项目
在这里插入图片描述
设置好项目名及项目所在位置后,点击next进入以下所示界面
在这里插入图片描述
由于这里并没有需要添加的文件,直接next即可,之后选择合适芯片版本等
,之后在本次实验中,可以直接点finish完成项目创建。选择file->new,选择如下选项
在这里插入图片描述
之后点下图中像与门的按钮,在其中搜索所需原件元件放置,按原理图连线在这里插入图片描述
最后结果如下
在这里插入图片描述
点紫色小三角,进行编译。有一些警告,但只要没有红色错误都可以编译完成。
选择新建文件,创建VWF文件。
右键点击左侧方框中空白位置,选择以下所示选项
在这里插入图片描述
选择node finder,选择list,点击>>按钮,之后点ok,完成。
选中clk信号,再点击上面带有钟表的图标,生成时钟信号。
选中d信号中一段,通过上面0和1控制信号。最小化该界面
之后选择以下选项
在这里插入图片描述

在弹出对话框中设置eda可执行文件位置及输出位置,例如
在这里插入图片描述
点start complication编译。
之后回到VWF中,选第二个进行仿真,结果如下
在这里插入图片描述

3.调用d触发器实现电路

之前操作几乎不变,直接点
在这里插入图片描述
在其中搜索dff,将其放置,最后电路图如下
在这里插入图片描述
仿真操作与之前一样,最后结果如下
在这里插入图片描述

4.Verilog语言实现一个D触发器

依旧创建项目,添加以下所示文件
在这里插入图片描述

代码如下

//Dwave是文件名
module Dwave(d,clk,q);
    input d;
    input clk;
    output q;

    reg q;

    always @ (posedge clk)//我们用正的时钟沿做它的敏感信号
    begin
        q <= d;//上升沿有效的时候,把d捕获到q
    end
endmodule

同样进行仿真,结果如下
在这里插入图片描述

总结

这三个波形图仿真的结果都是一致的,说明三种方式都可以,但对于复杂电路,我们想做好就没有现在那么容易,同时也更容易出错,因此以后应该会更多使用代码实现。

参考

modelsim报错v-12110
d触发器介绍

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值