Verilog刷题[hdlbits] :Vector100r

题目:Vector100r

Given a 100-bit input vector [99:0], reverse its bit ordering.

  • 给定一个100位输入向量[99:0],反转它的位顺序。

Hint…

  • 提示……

A for loop (in a combinational always block or generate block) would be useful here. I would prefer a combinational always block in this case because module instantiations (which require generate blocks) aren’t needed.

  • for循环(在组合的always块或generate块中)在这里很有用。在这种情况下,我更喜欢组合always块,因为不需要模块实例化(需要生成块)。
module top_module( 
    input [99:0] in,
    output [99:0] out
);
    reg [6:0] i;
    always@(*) begin
        for(i=7'd0;i<=7'd99;i=i+7'd1)
            out[i] =  in[7'd99 - i];
    end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值