【Modelsim】数据显示设置

本文介绍modelsim使用中数据的显示设置,定点小数的显示、模拟波形的显示、数据截位查看、信号颜色和行高设置的操作。

定点小数显示

使用modelsim进行仿真时,涉及到定点数含小数位数的问题,如果把信号设置为小数显示会更加直观。

1.右键需要查看的信号选择Radix,然后选择Use Global Setting。
在这里插入图片描述

2.再次右键需要查看的信号选择Radix,然后选择最上面的Global Signal Radix,弹出下面对话框
在这里插入图片描述

3.弹出对话框,这里可以做进制选择,单精度多精度浮点数,定点数的设置。选择Custom Fixed/Float…即可自定义小数点的位数和精度。

Fraction bits是小数位数(二进制位数),如果我的信号是40位,这里选20,就是[19:0]属于小数部分,除去符号位剩下的是整数部分;Precision是显示精度(显示几位有效数字),Base Radix是选择显示的进制,默认decimal十进制。Signed是符号位,如果勾选,那么二进制信号的第一位就是符号位了设置好后点击OK,Apply。
在这里插入图片描述

4.此时该信号变为小数显示。需要看另一个同样格式的信号时复用前面设置的格式即可。
在这里插入图片描述

右键点击信号后选择需要复用的格式。前面设置的格式均会保存在这里。
在这里插入图片描述

模拟波形的显示

1.选中希望以模拟波形显示的信号,右击选择format—>Analog(automatic)
在这里插入图片描述

显示的波形如下:
在这里插入图片描述

2.如果你的数据是用无符号数表示一个完整的波形的,那么可能显示出来的波形样子是下面的样子,不过不要紧,这是因为modelsim默认是按照有符号数据解析波形数据的缘故,我们可以再进行一次设置修改。
在这里插入图片描述

选中希望显示波形的信号,右击,选择Radix—>Unsigned。
在这里插入图片描述

设置完成后,波形就如下所示了:
在这里插入图片描述

是不是发现虽然波形已经是完整的正弦波了,但是波形有部分却跑到其他信号的地盘去了呢?这也不要紧,是模拟波形的显示范围设置导致的,其实这个设置也不是我们自己的原因。我们还是先看下如何修改为正确的显示,然后再来讲讲为啥会这样。

第一种方案:再次对选中信号右击,选择format—>Analog(automatic),即重新自动以模拟波形显示,波形就能正常了。

第二种方案:对选中信号右击,选择format—>Analog(Custom),在弹出的窗口中可以看到,波形显示范围为-512到511,这是因为我们的数据是10位位宽的,当选择显示模拟波形时,会自动以有符号数解析,范围就是-512到511,而当我们将数据修改为Unsigned之后,这个波形范围没有自动变过来,需要我们重新选择format—>Analog(automatic)一次,或者直接手动修改这个范围,当以无符号数解析时,10位数表示的范围为0~1023,因此手动修改Min为0,Max为1023,然后点击OK即可。
在这里插入图片描述

设置范围,范围的设置可以是我们看到波形的幅值细节,观测需要范围内的信号,Clamp waveform within row可以将超出的范围波形钳位。
在这里插入图片描述

Height设置信号显示的行高。Format 的Analog Step、Analog Interpolated、Analog Backstep能使得显示效果更加平滑或粗糙。
在这里插入图片描述

3.如果用户希望将波形恢复到原始的数据显示状态(仿真运行后的默认状态),只需要在format中选择Literal,Radix中选择Use Global Radix即可。

选取信号的某几位组合查看

在需要截位,或需要选取信号的某几位组合查看时。

按住CTRL后点击需要查看的信号的某几位,再右键点击Combine Signals…,可以将这几位组成一个新的位宽的数据进行观察
在这里插入图片描述

命名后点击OK即可在波形窗口观察。
在这里插入图片描述

信号颜色与行高设置

CTRL选择需要突出显示的信号,右键选择Properies…,设置信号的颜色、行高。点击OK即可。
在这里插入图片描述

  • 17
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Modelsim是一款功能强大的硬件描述语言(HDL)仿真工具,可以用于仿真和调试数字电路设计。下面将详细介绍Modelsim的使用教程。 1. 安装Modelsim:首先需要到Mentor Graphics官方网站下载Modelsim软件并进行安装。安装完成后,打开Modelsim。 2. 创建工程:在Modelsim的主界面上点击“File”菜单,选择“New”创建新工程。输入工程的名称和路径,并选择合适的文件类型。 3. 添加设计文件:在Modelsim的主界面上点击“File”菜单,选择“Add to Project”将设计文件添加到工程中。可以添加电路设计的源文件和仿真需要的测试文件。 4. 设定仿真选项:在Modelsim的主界面上点击“Simulate”菜单,选择“Start Simulation”进入仿真界面。在仿真窗口中设置仿真选项,如仿真时间、信号波形显示窗口等。 5. 设置测试向量:在仿真窗口中,可以设置测试向量来触发设计电路的不同输入。这样可以观察电路的输出结果,并进行调试。 6. 运行仿真:在仿真窗口中点击运行按钮开始仿真。仿真过程中,可以通过波形显示窗口来查看电路信号的变化,并对电路进行调试和验证。 7. 分析仿真结果:仿真结束后,可以在波形显示窗口中查看仿真结果。可以对信号进行测量、比较、断言等操作来验证电路设计的正确性。 8. 生成报告:仿真结束后,可以生成仿真报告,将仿真结果保存在报告中。还可以生成数据文件,用于进一步的数据分析和处理。 以上就是Modelsim的详细使用教程。通过掌握这些基本操作,你可以利用Modelsim进行电路设计的仿真和调试工作。 ### 回答2: ModelSim 是一种功能强大的仿真工具,用于设计和验证数字系统。下面是一份简单的ModelSim使用教程。 1. 安装ModelSim: 首先,从官方网站或其他可靠渠道下载并安装ModelSim软件。安装过程可能因不同的操作系统而有所不同。完成安装后,打开ModelSim。 2. 创建项目: 在ModelSim中,首先需要创建一个仿真项目。在菜单栏中选择"File",然后选择"New",再选择"Project"。输入项目的名称和路径,然后点击"OK"。 3. 添加设计文件: 在项目中添加设计文件,可以是VHDL或Verilog语言编写的文件。在菜单栏中选择"Project",再选择"Add Existing File".通过选择文件对话框,找到并添加设计文件。 4. 设置编译选项: 在菜单栏中选择"Compile",再选择"Compile Options"。在弹出窗口中,设置编译选项,例如选择设计文件的语言类型、优化级别等。点击"OK"开始编译。 5. 编译错误检查和调试: 编译完成后,ModelSim显示编译的结果。如果存在错误,可以双击错误信息来定位并解决问题。 6. 添加仿真模型: 在菜单栏中选择"Simulate",然后选择"Start Simulation"。ModelSim将在一个仿真窗口中打开。 7. 设置仿真波形: 在仿真窗口中,点击菜单栏中的"Wave",选择"Add Wave"。然后通过点击"Insert"按钮,添加需要监视的信号和时钟。 8. 运行仿真: 在仿真窗口中点击 "Run" 按钮,ModelSim将开始运行仿真。可以观察波形图来验证设计的正确性。 9. 仿真调试: 在仿真窗口中,可单步执行仿真,观察信号的变化和寄存器的值。还可以设置断点,在断点处暂停仿真。 10. 仿真结束和结果分析: 仿真结束后,可以对仿真结果进行分析。例如,可以查看信号的变化、波形图的数据等。 以上是一个简单的ModelSim使用教程,仅涵盖了一些基本操作。实际使用中,还有更多高级功能和选项可以探索和应用。 ### 回答3: ModelSim是一款功能强大的数字电路仿真工具,适用于FPGA设计和验证过程。下面是ModelSim的详细使用教程: 1. 安装ModelSim:从官方网站下载ModelSim安装包,然后按照安装向导进行安装。 2. 创建工程:打开ModelSim,选择“File”菜单中的“New Project”选项,然后按照提示输入工程名称和路径。接着选择要仿真的源文件列表,并设置仿真文件的类型和路径。 3. 设置仿真选项:在ModelSim中,选择“Simulate”菜单中的“Start Simulation”选项。然后在仿真窗口中,选择“Simulate”菜单下的“Run”选项。在弹出的对话框中,设置仿真的时间长度和时间单位。 4. 编写测试程序:在ModelSim的编辑器中,编写测试程序代码。测试程序通常是一段用于对待仿真模块进行测试的Verilog或VHDL代码。 5. 仿真运行:在ModelSim的仿真窗口中,选择“Simulate”菜单下的“Run”选项,开始进行仿真运行。仿真过程将按照测试程序的代码逐个时钟周期地执行。 6. 查看仿真结果:在ModelSim的仿真窗口中,选择“Wave”菜单下的“Add Wave”选项,将要查看的信号添加到波形窗口中。然后点击“Restart”按钮重新开始仿真运行,即可查看各个信号的时序波形图。 7. 仿真调试:如果出现错误或问题,可以通过设置断点、单步执行、变量查看等方式进行仿真调试。在ModelSim的仿真窗口中,选择“Simulate”菜单下的“Advanced”选项,然后选择相应的仿真调试功能。 8. 优化仿真性能:如果仿真运行过慢或占用过多内存,可以通过调整仿真选项和代码优化来提高仿真性能。 以上是ModelSim的详细使用教程,希望能对你有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值