HDLbits--bugs mux2

module top_module (
    input sel,
    input [7:0] a,
    input [7:0] b,
    output [7:0] out  );

    
          assign  out=sel?a:b;

endmodule

或者用always块也可以

一开始只想在表达式当只能够修改,但是error。 

编码为 (~sel & a) |(SEL & B) 不适用于向量。这是因为这些是按位运算符,而 sel 只是一个 1 位宽的量,使 A 和 B 的上位归零。但是可以使用 拼接运算符,( {8{~sel}} & a ) |( {8{sel}} & b )

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值