FPGA代码练习

本文介绍了几个FPGA设计实例,包括8位加法器、8位计数器、二选一多路选择器、四位移位寄存器、七人表决器,以及一个空调控制系统的设计。通过这些例子,详细展示了如何用Verilog语言实现不同的数字逻辑功能,并对空调控制系统进行了状态机设计、程序编写和验证过程的阐述。
摘要由CSDN通过智能技术生成

01、8位加法器
功能代码
module adder_8 ( cout,sum,a,b,cin );
input [7:0] a,b;
input cin;
output cout;
output [7:0] sum;
assign {cout,sum} = a + b + cin;
endmodule
2、8位计数器
功能代码
module counter(out,clk,enable,rst);
output[7:0] out;
input clk, rst, enable;
reg[7:0] out;
always @ (posedge clk) begin
if (rst) begin
out <= 8’b0;
end else if (enable) begin
out <= out + 1;
end
end
endmodule
3、二选一多路选择器
连续赋值方式
module onInTwo(a,b,s,d);
input a,b,s;
output d;
assign d = (s == 0)?a:b;
endmodule
阻塞赋值方式
module onInTwo2(out,d,a,s);
input a,b,s;
output out;
always @(*)begin
if (s==0) begin
out = a;
end else begin
out = b;
end
end
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值