FPGA中的D触发器

四种基本触发器

        基本D触发器

module    DFF(D,Q,CLK);

input D,CLK;

output Q;

always @(posedge CLK)
    begin
        Q <= D;
    end

endmodule

        带异步清0、异步置1的D触发器

module    DFF1(q,qn,d,clk,set,reset);


input d,clk,set,reset;

output reg q,qn;

always @(posedge clk or negedge set or negedge reset)
    begin
        if(!reset)    //    异步清0,低电平有效
            begin
                q <= 0;
                qn <= 1;
            end
        
        else if(!set)      //异步置1,低电平有效
            begin
                q <=1;
                qn <= 0;
            end

        else
            begin
                q <= d;
                qn <= ~d;
            end
    end

endmodule

        带同步清0;同步置1的D触发器

module    DFF2(q,qn,d,clk,set,reset);


input d,clk,set,reset;

output reg q,qn;

always @(posedge clk)
    begin
        if(reset)    //    异步清0,高电平有效
            begin
                q <= 0;
                qn <= 1;
            end
        
        else if(set)      //异步置1,高电平有效
            begin
                q <=1;
                qn <= 0;
            end

        else
            begin
                q <= d;
                qn <= ~d;
            end
    end

endmodule

        带异步清0、异步置1的JK触发器

module JK_FF(CLK,J,K,Q,RS,SET);

input CLK,J,K,SET,RS;

output Q;

reg    Q;

always @(posedge CLK or negedge RS or negedge SET)
    begin
        if(!RS)
            begin
                Q <= 0;
            end

        else if(!SET)
            begin
                Q <= 1;
            end
    
        else
            begin
                case({J,K})
                2'b00: Q<=Q;
                2'b01: Q<= 0;
                2'b10: Q<= 1;
                2'b11: Q<= x;
                endcase
            end
    end

endmodule

  • 3
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值