modelsim仿真,读ROM输出值为0

在使用modelsim进行仿真时,ROM中的数据发现根本读不出来,数据始终为0。试了网上不少方法,不起作用。最终在读了modelsim的waring后,发现这么一句话:

 说明modelsim只知道存储数据的mif文件的文件名,而不知道其路径。原因在配置ROM文件时,

 由于mif文件和工程文件放在了一起,即prj文件夹下,所以点击finish后,再打开这个配置界面,file name文件栏会自动省略文件的路径,只保留文件名,导致modelsim仿真读不出来。

解决方法:mif文件可以放置在任意其它文件夹中,但是要将文件的绝对路径复制到file name

重编译后,使用modelsim即可仿真出波形

quartus II 13.1

modelsim SE10.4 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值