modelsim 仿真 output no data原因探究

本文介绍了在FPGA Verilog仿真中遇到的output变量无数据的问题,分析了原因在于变量未初始化,并提供了解决方案。在原始代码中,output变量a未在初始状态下赋值,导致仿真结果出现一串xxxxx。修正方法是在模块中加入reset信号,并在always块中根据reset进行变量初始化。同时,testbench中也需要连接reset信号以启用相应逻辑。此外,文章强调了FPGA编程与传统编程语言在变量初始化方面的差异,并提醒注意testbench中端口连接的完整性。
摘要由CSDN通过智能技术生成

FPGA Verilog 相当于“Hello world"的一段入门代码:

module test(clk,a);
input clk;
output a;
reg [8:0]a;
always@(posedge clk)
begin
a <= a +1'b1;
end

endmodule

仿真TestBench 代码:

`timescale 1 ns/ 1 ps
module test_vlg_vec_tst();

reg clk;                                        
wire [8:0]  a;

always #10 clk=~clk;

initial
begin
  clk = 0;
  #120 $stop;

end            
test i1 (
.clk(clk),
.a(a)
);
endmodule

仿真界面, output a 是没有数据的,显示一串xxxxx.

 原因是变量a在初始化时没有被赋值,代码修改为:

module test(clk,rst,a);
input clk;
input rst;
output a;
reg [8:0]a;


always@(posedge clk or negedge rst)

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值