modelsim与quartus联合仿真ROM读不出数据

modelsim与quartus联合仿真ROM没有数据被读出,很是纳闷。

image-20240323214916308

原因:hex或者mif文件放的不对,放在与db放在同一个文件夹下。modelsim在这个目录查找mif文件或hex。

image-20240323213908268

image-20240323213954658

这是我遇到的问题。当然可能还有其他的问题:

1、mif文件的格式不对,保证深度、位宽等信息是正确的,地址是从0开始,然后依次递增。我试过地址不是从0开始,ROM初始化会直接报错。mif文件格式如下:

DEPTH=65536;
WIDTH=16;
ADDRESS_RADIX=UNS;
DATA_RADIX=UNS;
CONTENT BEGIN
0:23387;
1:23387;
2:23387;
3:23387;
4:23387;
...
65533:24929;
65534:24672;
65535:23901;
END;

mif文件在quartus中可以直接另存为hex文件转换方便。

image-20240323220017575

--晓凡 2024323日于武汉书
  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值