SPI通讯

0. Intro

​本仓库实现两种基于SPI的FPGA与MCU通讯方式:类SRAM接口与指令解析。

​不论哪种方式,MCU都是通过修改FPGA内部一些控制寄存器的值实现对FPGA硬件的控制。在类SRAM接口方式中,每一个控制寄存器与数据寄存器的读写都被分配了唯一的地址,通过指定地址,即可实现对目标寄存器的读或写操作。在指令解析方式中,则是通过状态机对MCU发送的指令进行解析,实现对目标寄存器的读写。

​本仓库分为两个部分,essential中实现了基本的读写功能,即寄存器的读写、FIFO的读写与DPRAM的读写,simpleDSP中实现了简单的数字信号处理功能,包括信号采样、FFT与IFFT、FIR滤波。

​实验中使用了Intel的IP核,并提供相应的仿真,具体的软硬件平台如下表所示。

平台 
FPGAEP4CE15
MCUSTM32F407
软件 
Quartus18.1.1 Standard
Keil 
STM32CubeMX6.5.0

1. 目录结构

有的文件找不到,是还没做完。

FPGA_MCU_SPI_COM
├── LICENSE
├── README.assert                   // README中图像
├── README.md
├── essential                       // 基础部分
│   ├── alt_ip                      // 使用到的IP核
│   ├── Inst_pars                   // 指令解析方式
│   │   ├── RTL                     // RTL实现
│   │   ├── mcu_driver              // 驱动程序
│   │   └── sim
│   │       ├── modelsim_prj
│   │       │   ├── run.do          // 仿真运行脚本
│   │       │   └── wave.do         // 波形脚本
│   │       ├── run.bat             // 启动脚本
│   │       └── tb_main.v
│   └── sram_like                   // 类SRAM接口方式
│       ├── RTL
│       ├── mcu_driver
│       └── sim                     // 与Inst_pars类似,就不展开了
└── simpleDSP                       // todo
    ├── dsp
    ├── inst_pars
    └── sram_like

2. SPI模块

​SPI模块实现了spi的从机模式,并且只支持mode 0,即上升沿采样下降沿切换。通过对scl、sel等信号的采样,判断出这些信号的上升下降沿,作出相应的动作,因此,scl的最大频率受到clk的制约。例如clk取50M,scl的频率就不能超过25M。由于仅作从机,FPGA端没有主动向MCU发起传输的能力,当MCU需要读取数据时,需要发送空数据0产生scl时钟,待读取的数据才能在sdo线(FPGA端,对应MCU端sdi线)上出现。

​Data_begin与Data_end信号作为通讯的开始与结束标志,也是Din与Dout端口数据的有效标志。在data_begin拉底前,Din端口就应准备好数据,否则Din数据无法及时地被SPI模块装载,sdo也就无法正确输出。同理,在Data_end拉高前,也不应该去读取Dout端口的数据。

3. essential

  • 简单求和

​SPI接口模块内存在一些寄存器,并在端口处将他们引出。为了简单测试寄存器的功能,用纯组合逻辑实现了这些寄存器的求和。

  • dual clk FIFO

​使用Intel的IP核,配置大小为16位*256,show ahead模式。

  • dual port RAM

​使用Intel的IP核,配置大小为16位*256,区分读写时钟,读端口数据不需要寄存。

  • 使能控制

对上述3点功能添加使能控制。

4. 类SRAM接口

SPI模块采用双sel线spi_cs_addr与spi_cs_data,以区别本次传输的数据是地址还是数据。每次读写操作时,首先传输对应端口的地址,再进行数据的收发。

diagram_SPI_DCS.png

模块内为每个需要通过spi访问的寄存器分配寄存器地址,spi传输时的地址依据寄存器地址分为对应寄存器的读写地址,写地址最高位为0,读地址的最高位为1,其余位与寄存器地址保持相同,以此区分。

寄存器地址传输地址(8位,写操作)传输地址(8位,读操作)
111+128

对于FIFO的读写操作,在首次指定地址后,允许连续的读写数据。

对于所有的写操作,采用时序逻辑;对于所有的读操作,采用组合逻辑。

5. 指令解析接口

spi传输位宽为8位,FPGA中数据的位宽位为16位。SPI模块为标准4线SPI。

5.1. 指令设计

共设计了8条指令:

指令描述操作码(首字节)
disable0x00
enable0x01

用于置位控制寄存器ren;

指令描述操作码(首字节)   
write register0x02regAddrregData_0regData_1
read register0x03regAddr0x000x00

regAddr,内部数据寄存器编址。

regData_0,16位数据的高8位。

regData_1,16位数据的低8位。

指令描述操作码(首字节)       
write fifo0x04dataCnt_0dataCnt_1data0_0data0_1...dataX_0dataX_1
read fifo0x05dataCnt_0dataCnt_10x000x00...0x000x00

FIFO读写,采用连续传输。

dataCnt,16位,传输数据的长度。

当FIFO满时,多余的数据无效;FIFO空时,读出0。

指令描述操作码(首字节)         
write ram0x06firstAddr_0firstAddr_1dataCnt_0dataCnt_1data0_0data0_1...dataX_0dataX_1
read ram0x07firstAddr_0firstAddr_1dataCnt_0dataCnt_10x000x00...0x000x00

RAM读写,采用连续传输。

firstAddr,16位,为数据的首地址。(ram大小其实仅为16位*256,8位够了,设计成16位是为了通用性强点,ram深度大点指令也可以兼容,但无疑是牺牲了效率的(其实也没牺牲多少,实际应用中时间没这么紧张吧👀))

dataCnt,16位,传输数据的长度。

从首地址开始顺序读写,当数据对应的地址超出RAM上限时,写入无效,读取为0。

5.2. 状态机设计

  • 三段式状态机

  • 状态机的转移全依据SPI模块的SPI_Data_begin与SPI_Data_end信号

state_intro.png

  • 状态机的输出,由现态与SPI_Data_begin、SPI_Data_end信号共同决定。写操作使用时序逻辑,读操作使用组合逻辑。注意,写操作的时序逻辑是以现态为准的。

  • 状态设计的不太好,感觉太粗糙了。或许可以把状态细化到SPI_Data_X信号有效的时钟周期,状态与状态间设置成等待状态。如果有好想法,欢迎参与到仓库的建设中来😊。

disable 与 enable

 

write register 与 read register

 

write fifo 与 read fifo

 

fsm_cnt是在s_writeFIFO_writeData_1状态才自减的,由于状态机输出中fsm_cnt是时序逻辑并且基于现态,所以在状态转移中现态根据fsm_cnt转移的下一拍自减才完成。总的来说,fsm_cnt代表本数据是需要传输的倒数第fsm_cnt个数据,所以状态转移时fsm_cnt == 1就代表本数据是最后一个了。

write ram 与 read ram

 

6. simpleDSP

画个饼先

6.1. 结构框图

6.2. 寄存器定义

地址读写寄存器名
0RWctrl[9:0]
  • [0] en_sclkGen

  • [1] en_sample

  • [2] en_waveGen

  • [3] en_FIR

  • [4] wen_sclkGen_coef

    系数写使能,写使能有效时对应模块失能(模块使能 = en_模块 & (~ wen_模块系数))。

  • [5] wen_FIR_coef

    系数写使能,同上。

  • [7:6] mode_sample

    • 0:连续采样,仅输出到FIR
    • 1:突发采样(1024点),仅输出到RAM
    • 2:突发采样(1024点),仅输出到FIFO
    • 3:突发采样(1024点),仅输出到RAM与FIFO
  • [8] sel_FIR_WaveGen

  • [9] en_int:中断使能

地址读写寄存器名
1Wtrigger[2:0]
  • [0] trig_sample: 置1触发一次采样(1024点),采样结束自动置0
  • [1] trig_FFT: 置1触发一次转换(1024点),转换结束自动置0
  • [2] trig_IFFT: 置1触发一次转换(1024点),转换结束自动置0
地址读写寄存器名
1Rstate[2:0]
  • [0] busy_sample
  • [1] busy_FFT
  • [2] busy_IFFT
地址读写寄存器名
2Rfifo_wave_rdata
 Wfifo_wave_wdata
3Wram_wave_waddr
4Wram_wave_raddr
5Rram_wave_rdata
 Wram_wave_wdata
6Wram_fre_waddr
7Wram_fre_raddr
8Rram_fre_rdata
 Wram_fre_wdata
9WFIRcoef_waddr
10WFIRcoef_raddr
11RFIRcoef_rdata
 WFIRcoef_wdata
地址读写寄存器名
12RWsclk_gen_coef[31:16]
13RWsclk_gen_coef[15:0]

sclk_gen_coef:采样时钟生成系数,类似DDS频率控制字

7. todo

  1. 将自定义指令中的16位数据都改用小端序,方便MCU端用指针进行访问。
  2. 现在只进行了仿真,还未实际上板验真。mcu驱动也未测试。
  3. simpleDSP
  4. 再之后就是用SystemVerilog重构下,提高点代码质量。
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado是赛灵思公司(Xilinx)提供的一款集成电路开发套件,用于FPGA设计和嵌入式系统开发。SPI(Serial Peripheral Interface)是一种串行外设接口通信协议,用于在微控制器或其他数字集成电路之间传输数据。 在Vivado中进行SPI通讯,我们需要先设计相应的电路,并配置引脚和通信参数。首先,在Vivado设计工程中创建一个新的项目,并添加所需的硬件模块。然后,我们可以使用Vivado开发环境的IP Catalog来选择合适的SPI IP核。 接下来,我们需要对IP核进行配置,包括指定时钟频率、数据位宽、模式(主/从模式)、传输速率等。设置完成后,可以生成IP核,并将其添加到设计中。在设计中,我们还需要添加其他所需的模块,如处理器等。 完成硬件设计后,我们可以进行FPGA逻辑设计,包括将SPI模块与其他逻辑模块进行连接,并编写相应的逻辑代码。这些代码描述了SPI通讯的协议和控制流程,以确保正确的数据传输。 最后,我们需要将设计综合为比特流文件,并下载到FPGA设备中进行验证和测试。通过连接外部设备(如传感器、存储器等)到FPGA的SPI接口,我们可以进行数据传输和通讯测试。 总而言之,在Vivado中进行SPI通讯需要进行硬件设计、IP核配置、逻辑设计和测试验证等步骤。通过正确的配置和编写相应的代码,我们可以实现可靠的SPI通讯,并与其他数字集成电路或外部设备进行数据交互。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

凉风有诗意

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值