基于verilog的除法器的实现

本文应该是目前全网最通俗易懂,而且比较全面的用verilog实现除法器的文章。首先说明一下本文的探讨的重点。我们首先从整数的除法开始讲起,然后慢慢延伸到小数的除法,和负数的除法。

对于一个除法器来说,他的实现框架应该是下面这个图:

在这个框架图中,A是被除数,B是除数,ready是说明此时的被除数和除数是有效的。而shang和yushu就是字面意思,代表的是除法的结果。而valid指的是此时的除法的结果是有效的。

那么设计完框架图之后 ,现在来设计一下时序图,一个理想的除法器的时序图应该如下所示:

从上图可以看出,在第一个时钟周期的时候输入divisor(除数)和dividend(被除数)之后,此时在下一个时钟周期,intergral(商)和remaider(余数)就会马上出现结果,这当然就是我们想要的时序。那么有没有可能实现这样完美的时序呢?有同学可能会说,这还不简单,直接用“/”运算不就可以了吗?但其实不太行,因为计算机可以很方便的做加减乘,就是不方便做除法,哪怕实现了除法,他的逻辑电路也是很复杂。对于为什么计算机做除法很复杂,可以参考下面这篇文章。

https://www.cnblogs.com/BinB-W/p/5706725.html

那么还是回到刚刚这个问题,如何实现上图中这个完美的除法器时序呢?vivado其实自带了一个divider generator的ip核,他就是能实现这个完美的时序,上图中我就是调用了divider generator,从而实现了这个时序图。在divider generator中,一共有三个模式,分别是Radix2,High Radix,Lutmult。对于这三个模式,在手册中可以查阅到他们的不同点在于延时和所用资源的不同。其中Radix2和Lutmult可以实现一个时钟周期后,结果就马上出现的时序,所以我们重点应该是放在这两个模式怎么用verilog进行实现。

通过查阅手册和查阅源代码,我们发现这两种模式的实现用到了Xilinx的原语,他的实现方式是偏硬件的,而不是通过纯verilog代码实现的。所以我们无法通过复现divider generator这个ip核,来实现完美的时序。

那么现在问题走到这里,接下来该怎么走呢?我们从手册中找到了答案,手册中有这样一句话:

意思是说,在除法器这个ip核中,用到了基2非恢复余数法。那么现在重点应该是放在如何用verilog实现非恢复余数法或者是恢复余数法。

对于恢复余数法,网上有很多文章,实事求是地说,基本上看不明白。我第一次看的时候,也是看的云里雾里的。后来我看了哈工大老师计算机组成原理(B站上有),并且自己手写了一遍除法,才算真的搞懂是什么意思。对于这个方法不理解的同学我建议你先看一下哈工大的这一节课:

我下面直接总结一下恢复余数法。对于恢复余数法,他的本质是描述了手写二进制除法的过程,在进行两个二进制的除法的时候,我们所作的唯一事情就是,给商上0或者上1。那么给商上完1之后,我们需要做什么呢?给商上完0之后,又需要做什么呢?其实只需要做下面两件事情,如下:

从上面的总结可以看出,恢复余数法的本质就是给三个变量赋值,分别是商、余数、除数。其中商的取值取决于余数和除数的大小比较;余数的取值取决于余数和除数的大小比较;除数则是一直右移即可。

有了上面的认识之后,我们可以提取出如下的关键verilog代码:

那么描述完恢复余数法的关键步骤之后,有些同学可能还会疑惑一件事情。在网上的代码中我们常常看到被除数需要左边接上很多0,除数需要右边接上很多0,这是为什么呢?这个问题需要各位同学自己手写一下除法的过程,你才能真正明白。我的建议是你用1100_1100除以11_1001试一下。

那么现在来看看我们的时序图是什么样的:

从图中可以看出我们实现一次除法运算所需要的时钟周期是19个,和完美的时序相差还是比较远,但是没办法,计算机的结构限制了我们只能这样做。如果有同学实在是觉得这样做除法花费的时间太长,我的建议是可以去研究一下SRT算法、牛顿法和 GoldSchmidt 法,这里不再赘述。

讲完整数的除法之后现在来讲讲小数和负数的除法运算。对于小数来说,他其实可以通过乘以一个10,再除以一个10,从而化为了整数的运算。对于负数的除法来说,他需要多考虑一个负数的补码,这里我直接将源代码放在下面,各位同学搞懂了整数的运算,对于小数和负数那不会很难。

最后讲讲恢复余数法和非恢复余数法的区别,这两个的区别我建议是看看哈工大老师的下面这两节课

我总结的这两个方法的区别如下:

最后放上源代码,文章写到后面确实有点赶,因为等下要赶飞机了,有不懂的地方发评论吧。

module division(
    input   wire                 sys_clk,
    input   wire                 rst_n  ,
    input   wire signed  [16:0]              A,
    input   wire signed  [16:0]              B,
    input   wire                   ready,
    
    output  reg  signed  [16:0]          shang,
    output  reg  signed  [16:0]          yushu,
    output  reg                    valid
    
    
    );

reg work_flag;
reg [15:0] yushu_qian;
reg [31:0] chushu;
reg [4:0] cnt;
reg [15:0]  shang_qian;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        work_flag <= 1'd0;
    else    if(cnt == 'd16)
        work_flag <= 1'd0;
    else    if(ready == 1'd1)
        work_flag <= 1'd1;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        yushu_qian <= 16'd0;
    else    if(work_flag == 1'd0)
        yushu_qian <= (A[16] == 1'd1)?~A[15:0]+1'd1:A[15:0];
    else    if(work_flag == 1'd1)
        begin
            if(yushu_qian >= chushu)
                yushu_qian <= yushu_qian - chushu;
            else    
                yushu_qian <= yushu_qian;
        end        
        

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        chushu <= 32'd0;
    else    if(work_flag == 1'd0)
        chushu <= {(B[16] == 1'd1)?~B[15:0]+1'd1:B[15:0],16'd0};
    else    if(work_flag == 1'd1)
        chushu <= chushu>>1;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        cnt <= 'd0;
    else    if(work_flag == 1'd0)
        cnt <= 'd0;
    else
        cnt <= cnt + 'd1;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        shang_qian <= 16'd0;
    else    if(work_flag == 1'd0)
        shang_qian <= 16'd0;
    else    if(work_flag == 1'd1)
        begin
            if(yushu_qian >= chushu)
                shang_qian[16-cnt] <= 1'd1;
            else    
                shang_qian[16-cnt] <= 1'd0;
        end        
        
always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        shang <= 17'd0;
    else    if(cnt == 'd17)
        shang = (A[16]^B[16] == 1'd1)?{1'd1,~shang_qian+1'd1}:shang_qian;     

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        yushu <= 17'd0;
    else    if(cnt == 'd17)
        yushu <= {A[16] == 1'd1}? {1'd1,~yushu_qian[15:0]+1'd1} :{1'd0,yushu_qian[15:0]};    

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        valid <= 'd0;
    else    if(cnt == 'd17)
        valid <= 'd1;
    else
        valid <= 'd0;
        
endmodule 
`timescale 1ns/1ns

module tb_2();

reg signed  [16:0]          A;
reg signed  [16:0]           B;
reg sys_clk;
reg rst_n;
reg ready;


division division_inst(
    .sys_clk            (sys_clk),
    .rst_n              (rst_n),
    .A                  (A     ),
    .B                  (B     ),
    .ready              (ready)
    );

always #10 sys_clk = ~sys_clk;



initial begin
    sys_clk = 1'd0;
    rst_n <= 1'd0;
    A <= 16'd0;
    B <= 16'd0;
    ready <= 1'd0;
    #10
    rst_n <= 1'd1;
    #100;
    A <= -17'd27;
    B <= 17'd5;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
    #400;
    A <= 17'd33;
    B <= -17'd7;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
    #400;
    A <= -17'd39;
    B <= -17'd2;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
    #400;
    A <= 17'd17;
    B <= 17'd3;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
end   

endmodule 

  • 12
    点赞
  • 131
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
### 回答1: 流水线除法器是一种用于实现除法操作的电路。它能将一个除数和一个被除数作为输入,并产生商和余数作为输出。下面是使用Verilog语言实现流水线除法器的步骤: 1. 首先,根据除法运算的原理,我们需要将被除数和除数换算成二进制形式,以便进行位运算。 2. 然后,我们需要设计一个控制单元,用于控制流水线的操作。控制单元需要根据被除数和除数的位数,确定流水线的阶段数量。 3. 接下来,我们需要设计具体的流水线阶段。每个阶段负责执行部分除法运算,并将结果传递给下一个阶段。例如,第一阶段可以将被除数右移,以便将该位与除数进行比较。 4. 在每个阶段中,我们需要设计具体的数学运算电路,例如减法器、比较器等,以便执行除法运算的各个步骤。 5. 最后,我们需要将各个阶段连接起来,形成一个完整的流水线除法器电路。在Verilog中,我们可以使用连续赋值语句和模块实例化语句来实现这个连接过程。 总结:流水线除法器Verilog实现主要包括将被除数和除数换算成二进制形式、设计控制单元、设计各个流水线阶段及运算电路,最后将各个阶段连接起来。这样实现的流水线除法器能够快速而有效地执行除法运算。 ### 回答2: 流水线除法器Verilog实现可以分为四个阶段:准备阶段、除法阶段、乘法阶段和完成阶段。 在准备阶段,我们需要对输入的被除数和除数进行处理,并初始化一些控制信号和寄存器。首先,我们需要将被除数和除数从输入信号中取出,并进行符号扩展。同时,初始化一些控制信号,如是否为负数等。其次,我们需要对各个寄存器进行初始化,如用于存储商的寄存器、余数寄存器和计数器等。 在除法阶段,我们需要进行真正的除法运算。首先,我们需要通过移位操作将被除数和除数对齐。然后,进行循环操作,每次判断是否可以进行除法运算。如果可以,则进行除法运算,并将商和余数更新到相应的寄存器中。同时,更新计数器的值,以便下一次循环。如果不可以进行除法运算,则跳转到乘法阶段。 在乘法阶段,我们需要实现除数的乘法操作。首先,我们需要对除数进行符号调整,保证除数为正数。然后,通过移位和加法操作,进行乘法运算,并将乘积保存到相应的寄存器中。同时,将计数器的值减一,以便回到除法阶段。 在完成阶段,我们需要进行一些必要的后处理操作。首先,判断商和余数的符号是否需要调整回去。如果需要,则进行相应的调整。然后,将商和余数通过输出信号的形式输出出来。 需要注意的是,流水线除法器Verilog实现是比较复杂的,需要对各个模块进行合理的划分,并进行适当的协调和控制。同时,我们也需要考虑一些特殊情况的处理,如除数为零的情况等。因此,对于初学者而言,需要具备一定的Verilog编程和数字电路设计的知识基础。 ### 回答3: 流水线除法器Verilog实现是一个基于处理器设计的数学计算组件,用于执行除法操作。它通常包括几个关键的部分,如寄存器、控制逻辑、算术单元和时序控制。 在Verilog中,我们可以使用一系列模块和信号来实现流水线除法器。首先,我们需要定义输入和输出信号,包括被除数、除数和商等。然后,我们可以用寄存器模块来存储和更新这些信号的值。 然后,我们需要实现控制逻辑来决定何时执行除法操作。这可以使用有限状态机实现,根据不同的状态决定执行何种操作。例如,我们可以使用状态机来控制加载操作数、执行除法和输出结果等。 接下来,我们需要实现算术单元来进行除法计算。这可以使用一系列乘法、减法和移位操作来实现。具体来说,我们可以使用移位寄存器来对被除数和除数进行移位,并结合减法器来执行除法计算。 最后,我们需要进行时序控制来确保各个模块和信号之间的同步。这可以使用时钟、使能信号和延时器等来实现。 总体而言,流水线除法器Verilog实现是一个复杂的过程,需要对处理器设计、控制逻辑和算术计算有深入的了解。通过编写模块、使用信号和实现适当的逻辑来实现除法操作,我们可以构建一个高效和可靠的流水线除法器

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值