makefile运行vcs模板

        makefile是由target和dependency构成的,target就是运行make后要干什么事情;dependency就是告诉make如何去做。

1. 运行makefile

        makefile对格式检查非常严格,目标与依赖项必须满足下列格式:

result: 
	echo "hello world!"

             当没有指明具体的⽬标是什么 时,那么 make 以 Makefile ⽂件中定义的第⼀个⽬标作为这次运⾏的⽬标。这“第⼀个”⽬标也称之 为默认⽬标。当 make 得到⽬标后,先找到定义⽬标的规则,然后运⾏规则中的命令来达到构建⽬标的⽬的。

        在运行makefile时,如果不想显示依赖项,可通过在依赖项前面加上@,令依赖项不显示出来。

2. 使用假目标

        makefile运行时通过时间戳来检查文件是否被更新,如果文件的时间戳没有更新,第二次运行时将跳过编译阶段直接输出结果。因此若目录下存在与目标重名的文件,有可能导致编译不更新;可通过设置假目标修改makefile。使用假目标就是避免所定义的目标和的已经存在文件是从重名的情况,假⽬标可以采⽤.PHONY 关键字来定义,需要注意的是其必须是⼤写字⺟。

.PHONY: clean
clean:
	rm -rf ./verdiLog
	rm -rf ./simv.daidir
	rm -rf ./wave.fsdb
	rm -rf ./sim.log
	rm -rf ./vcs.log
	rm -rf ./simv

3. 使用变量,提高复用性

        ⼀个变量的定义很简单,就是⼀个名字(变量名)后⾯跟上⼀个等号,然后在等号的后⾯放这个变量所期望的值。对于变量的引⽤,则需要采⽤$(变量名)或者${变量名}这种模式。当在模板中使用变量时,以后新建的文件命名均采用与模板中定义的变量相同,则可以直接运行模板,非常的银杏~~~

 运行vcs的模板

SIM_TOP_NAME=test_tb
TEST_LIST=sim.f

all: clean comp run wave

.PHONY: clean
clean:
	rm -rf ./verdiLog
	rm -rf ./simv.daidir
	rm -rf ./wave.fsdb
	rm -rf ./sim.log
	rm -rf ./vcs.log
	rm -rf ./simv

.PHONY: comp
comp:
	vcs -full64 -LDFLAGS -Wl,--no-as-needed -debug_access+all -l vcs.log -timescale=1ns/1ns -reportstats -sverilog +v2k -kdb -lca	+lint=TFIPC-L	\
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab ${VERDI_HOME}/share/PLI/VCS/LINUX64/pli.a		\
	-top $(SIM_TOP_NAME)	\
	-f $(TEST_LIST)

#	vcs -full64 -debug_access+all -lca -kdb -l vcs.log -timescale=1ns/1ns -reportstats -sverilog +v2k	\

.PHONY: run
run:
	./simv -l sim.log -reportstats -ucli -i run_cli

.PHONY: wave
wave:
	verdi -ssf wave.fsdb &

run_cli模板:

call {$fsdbDumpfile("wave.fsdb")}
call {$fsdbDumpvars(0)}
run

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

哇哈哈的哈

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值