Makefile脚本启动VCS+Verdi的几种方法

本文介绍了使用Makefile脚本高效管理Verilog编译过程的四种方法,包括不同的编译策略和流程,帮助提升验证效率。
摘要由CSDN通过智能技术生成

verilog编译方法
way1:

all:clean elab
comp:
	vlogan -kdb -sverilog -full64 -l comp.log -f filelist.f
elab:
	vcs -kdb -lca -full64 -debug_access+all -top top_tb elab.log
run:
	./simv -gui -run_log
verdi:
	verdi -ssf top_tb.fsdb -elab simv.daidir/kdb.elab++ &
clean:
	rm -rf AN.DB DVEfiles csrc simv.* *simv inter.vpd ucli
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zer0hz

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值