用Verilog语言实现三位四选一多路选择器

一.要求: 设计一个三位 4 选 1 多路选择器电路,用 Verilog HDL 语言编写代码。

二.设计原理:
在这里插入图片描述
三.设计过程:

module FourOne(d1,d2,d3,d4,w,f,en); 
input [2:0]d1; 
input [2:0]d2; 
input [2:0]d3; 
input [2:0]d4; 
input[1:0]w; 
input en; 
output reg[2:0] f; 
always @ (d1 or d2 or d3 or d4 or w or en) 
begin
	if(en==0) 
		f=0;
	else
	begin 
	 	case(w) 
	 	2'b00:f=d1; 
	 	2'b01:f=d2; 
	 	2'b10:f=d3; 
	 	2'b11:f=d4; 
	 	endcase 
	 end 
	end 
endmodule

四.仿真

1.仿真代码

module try(); 
reg [1:0]w1; 
reg [2:0] s1; 
reg [2:0] s2; 
reg [2:0] s3; 
reg [2:0] s4; 
wire [2:0] out; 
reg en; 
FourOne tyeone(s1,s2,s3,s4,w1,out,en); 
initial 
	begin
		s1 = 001; 
		s2 = 010; 
		s3 = 011; 
		s4 = 100; 
		w1 = 2'b00; 
		en = 1; 
		#100 
		w1 = 2'b01; 
		#100 w1 = 2'b10; 
		#100 w1 = 2'b11; 
		#100
		$stop; 
		$finish; 
	end
endmodule

2.仿真结果
在这里插入图片描述

记得收藏点赞喏

  • 5
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值