Verilog 实现四选一选择器

Verilog 实现四选一选择器

前仿真模块

// An highlighted block
var foo = 'bar';
module  selector_41(sel, a, b, c, d, y);
    
   input [1:0] sel; 
   input a;
   input b; 
   input c; 
   input d; 
    
   output reg y;
   
   always @ (*) begin
   case(sel)   //case语句:括号为输入的条件
   2'b00 : y = a;
   2'b01 : y = b;
   2'b10 : y = c;
   2'b11 : y = d;
   endcase
    end
endmodule

后仿真模块

// An highlighted block
var foo = 'bar';
`timescale 1ns/1ps
module  selector_41_tb;
    
    
   reg [1:0] sel; 
   reg a;
   reg b; 
   reg c; 
   reg d; 
    
   wire y;
    
   selector_41 selector_41_inst(
   .sel(sel), 
   .a(a), 
   .b(b), 
   .c(c), 
   .d(d), 
   .y(y)
   );
   
   initial begin
        sel = 2'b00;a= 0;b =0; c=0; d=0;
  #200  sel = 2'b00;a= 0;b =0; c=0; d=1;
  #200  sel = 2'b00;a= 0;b =0; c=1; d=0;
  #200  sel = 2'b00;a= 0;b =0; c=1; d=1;
  #200  sel = 2'b00;a= 0;b =1; c=0; d=0;
  #200  sel = 2'b00;a= 0;b =1; c=0; d=1;
  #200  sel = 2'b00;a= 0;b =1; c=1; d=0;
  #200  sel = 2'b00;a= 0;b =1; c=1; d=1;
  #200  sel = 2'b00;a= 1;b =0; c=0; d=0;
  #200  sel = 2'b00;a= 1;b =0; c=0; d=1;
  #200  sel = 2'b00;a= 1;b =0; c=1; d=0;
  #200  sel = 2'b00;a= 1;b =0; c=1; d=1;
  #200  sel = 2'b00;a= 1;b =1; c=0; d=0;
  #200  sel = 2'b00;a= 1;b =1; c=0; d=1;
  #200  sel = 2'b00;a= 1;b =1; c=1; d=0;
  #200  sel = 2'b00;a= 1;b =1; c=1; d=1;

  
  #200  sel = 2'b01;a= 0;b =0; c=0; d=0;
  #200  sel = 2'b01;a= 0;b =0; c=0; d=1;
  #200  sel = 2'b01;a= 0;b =0; c=1; d=0;
  #200  sel = 2'b01;a= 0;b =0; c=1; d=1;
  #200  sel = 2'b01;a= 0;b =1; c=0; d=0;
  #200  sel = 2'b01;a= 0;b =1; c=0; d=1;
  #200  sel = 2'b01;a= 0;b =1; c=1; d=0;
  #200  sel = 2'b01;a= 0;b =1; c=1; d=1;
  #200  sel = 2'b01;a= 1;b =0; c=0; d=0;
  #200  sel = 2'b01;a= 1;b =0; c=0; d=1;
  #200  sel = 2'b01;a= 1;b =0; c=1; d=0;
  #200  sel = 2'b01;a= 1;b =0; c=1; d=1;
  #200  sel = 2'b01;a= 1;b =1; c=0; d=0;
  #200  sel = 2'b01;a= 1;b =1; c=0; d=1;
  #200  sel = 2'b01;a= 1;b =1; c=1; d=0;
  #200  sel = 2'b01;a= 1;b =1; c=1; d=1;

  
  #200  sel = 2'b10;a= 0;b =0; c=0; d=0;
  #200  sel = 2'b10;a= 0;b =0; c=0; d=1;
  #200  sel = 2'b10;a= 0;b =0; c=1; d=0;
  #200  sel = 2'b10;a= 0;b =0; c=1; d=1;
  #200  sel = 2'b10;a= 0;b =1; c=0; d=0;
  #200  sel = 2'b10;a= 0;b =1; c=0; d=1;
  #200  sel = 2'b10;a= 0;b =1; c=1; d=0;
  #200  sel = 2'b10;a= 0;b =1; c=1; d=1;
  #200  sel = 2'b10;a= 1;b =0; c=0; d=0;
  #200  sel = 2'b10;a= 1;b =0; c=0; d=1;
  #200  sel = 2'b10;a= 1;b =0; c=1; d=0;
  #200  sel = 2'b10;a= 1;b =0; c=1; d=1;
  #200  sel = 2'b10;a= 1;b =1; c=0; d=0;
  #200  sel = 2'b10;a= 1;b =1; c=0; d=1;
  #200  sel = 2'b10;a= 1;b =1; c=1; d=0;
  #200  sel = 2'b10;a= 1;b =1; c=1; d=1;
  
  
  #200  sel = 2'b11;a= 0;b =0; c=0; d=0;
  #200  sel = 2'b11;a= 0;b =0; c=0; d=1;
  #200  sel = 2'b11;a= 0;b =0; c=1; d=0;
  #200  sel = 2'b11;a= 0;b =0; c=1; d=1;
  #200  sel = 2'b11;a= 0;b =1; c=0; d=0;
  #200  sel = 2'b11;a= 0;b =1; c=0; d=1;
  #200  sel = 2'b11;a= 0;b =1; c=1; d=0;
  #200  sel = 2'b11;a= 0;b =1; c=1; d=1;
  #200  sel = 2'b11;a= 1;b =0; c=0; d=0;
  #200  sel = 2'b11;a= 1;b =0; c=0; d=1;
  #200  sel = 2'b11;a= 1;b =0; c=1; d=0;
  #200  sel = 2'b11;a= 1;b =0; c=1; d=1;
  #200  sel = 2'b11;a= 1;b =1; c=0; d=0;
  #200  sel = 2'b11;a= 1;b =1; c=0; d=1;
  #200  sel = 2'b11;a= 1;b =1; c=1; d=0;
  #200  sel = 2'b11;a= 1;b =1; c=1; d=1;

    end
endmodule
  • 2
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值