高云(Gowin)软件联合modelsim仿真,modelsim的tcl脚本仿真

 1. Gowin软件介绍

       高云半导体云源软件(www.gowinsemi.com.cn)是由广东高云半导体科技股份有限公司独立开发研究的EDA工具。广东高云半导体科技股份有限公司成立于2014年,是一家专业从事现场可编程逻辑器件(FPGA)研发与设计的国产FPGA高科技公司,致力于向客户提供从芯片、EDA开发软件、IP、开发板到整体系统解决方案的一站式服务。经过多年的积累,高云半导体在FPGA芯片架构、SOC芯片设计、FPGA集成EDA开发环境、FPGA通用解决方案等整个生态链均有核心自主知识,以及国内外发明专利。通过最新工艺的选择和设计优化,高云半导体已经取得与现有市场国际巨头同类产品媲美的高质量、高可靠性FPGA产品,并已经在汽车、工业控制、电力、通信、医疗、数据中心等应用领域实现规模量产。

图一  高云软件界面图

2. modelsim软件介绍

       Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。​

图二  modelsim软件界面图

3.安装好上述软件后,进行联合仿真

(1)高云云源软件中工程成功编译后,place and route有绿色的勾。

图三  高云工程成功截面

图四  高云工程根目录组成

(2)建立tcl脚本以及批处理命令do.bat文件。

图五   tcl脚本以及do.bat文件

(3)do.bat文件内容格式如下:

D:\***********\modelsim   -do   E:\******\cmd.do

D:\***********\modelsim 为modelsim的安装路径,E:\******\cmd.do是cmd.do的文件保存路径,cmd.do文件内容为运行modelsim的tcl命令合集。

(4)cmd.do文件

cmd.do的内容为操作modelsim的tcl命令,包括更改工作目录,以及开始仿真,添加波形,改变波形的显示格式,颜色等内容。

图六   cmd.do文件

quit -sim
这条命令用于退出当前正在运行的仿真会话,确保可以开始一个新的仿真会话。

cd  E:/*************/sim     
vlib work  
vmap work work

cd E:/*************/sim:切换到包含仿真文件的目录。
vlib work:创建一个名为work的新的工作库,用于存放编译后的设计。
vmap work work:将逻辑库名work映射到物理库名work,这是ModelSim中组织和访问设计文件的一种方式。

vlog -novopt  -incr -work work "E:/****************/simluation/tb/prim_sim.v"  
vlog -novopt  -incr -work work "E:/********************/simluation/tb/tb.v"  
vlog -novopt  -incr -work work "E:/********************/project/src/design.v"  
vlog -novopt  -incr -work work "E:/********************/project/src/fifo_top/fifo_top.vo"

prim_sim.v文件为高云的IP核仿真库文件,tb.v为自己工程的仿真激励文件。
使log命令编译Verilog文件。
-novopt选项禁用优化,以便更准确地反映设计的行为。
-incr选项启用增量编译,只重新编译自上次编译以来已更改的文件。
-work work指定将编译的文件放入work工作库中。
文件路径和文件名根据项目的实际结构进行调整。

vsim -novopt work.tb
使用vsim命令启动仿真。
-novopt同样禁用优化。
work.tb指定要仿真的顶层模块(testbench),这里假设tb是顶层模块的名称。

add wave  /tb/mclk_12288   
add wave  -radix unsigned  -color Yellow /tb/signal1   

这些命令用于向波形查看器中添加信号,以便在仿真时观察这些信号的波形。
-radix unsigned选项设置信号的显示基数为无符号。
-color选项为信号波形设置颜色,以便于区分。

view wave  
view structure  
view signals  

#radix   unsigned
view wave打开波形查看器。
view structure和view signals可能用于打开其他类型的视图,但具体效果可能依赖于ModelSim的版本和配置。
#radix unsigned设置信号无格式显示。

run 200us
run 200us命令启动仿真,并运行200微秒的时间。这个脚本提供了一个基本的框架,用于在ModelSim中设置、编译和仿真Verilog设计。根据具体的项目需求,可能需要对脚本进行一些调整。

(5)运行仿真流程

直接双击do.bat文件,即可跳转Modelsim进行仿真。

图七   运行仿真文件的弹出界面

上面这个是加载的高云的一系列IP核

图八   modelsim的Transcript中打印信息

成功后,在窗口会打印出上图信息。

图九   modelsim成功仿真图

然后modelsim的波形查看窗口会自动弹出上面cmd.do文件中加的观测信号。至此仿真结束,欢迎评论区交流!

  • 27
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值