VHDL四位比较器

LIBRARY ieee ;
USE ieee.std_logic_1164.all ;


ENTITY Vhdl1 IS
    PORT (a0,b0,a1,b1,a2,b2,a3,b3: in STD_LOGIC ;
       E      : OUT STD_LOGIC ;
 L      : OUT STD_LOGIC ;
 G      : OUT STD_LOGIC ) ;

END Vhdl1 ;
ARCHITECTURE LogicFunc of Vhdl1 is
BEGIN
    E <= (a3 XNOR b3)AND (a2 XNOR b2) AND (a1 XNOR b1) AND (a0 XNOR b0) ;
     
    
 G <= (NOT b3 AND a3) OR 
 ((a3 XNOR b3 ) AND (NOT b2)AND a2)OR
 ((a3 XNOR b3 )AND (a2 XNOR b2)AND(NOT b1)AND a1)OR
 ((a3 XNOR b3)AND (a2 XNOR b2)AND(a1 XNOR b1)AND (NOT b0)AND a0)
 
 ;
 L <= ((a3 XNOR b3)AND (a2 XNOR b2) AND (a1 XNOR b1) AND (a0 XNOR b0))NOR
 ((NOT b3 AND a3) OR 
 ((a3 XNOR b3 ) AND (NOT b2)AND a2)OR
 ((a3 XNOR b3 )AND (a2 XNOR b2)AND(NOT b1)AND a1)OR
 ((a3 XNOR b3)AND (a2 XNOR b2)AND(a1 XNOR b1)AND (NOT b0)AND a0));
 

END LogicFunc ;

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值