Quartus18.1 报错Error(suppressible): (vsim-19) Failed to access library “cycloneive_ver“ at ...

Error(suppressible): (vsim-19) Failed to access library "cycloneive_ver" at "cycloneive_ver"

No such file or directory.(errno = ENOENT)

这样类型的报错,有60处,如图:

 

 解决方法:1. 检查Tools -> options -> EDA Tools options

 

 

 注意不要多选了,此处如果有选过,删除即可,如下图所示:

 问题解决,新建VWF文件能够正常进行仿真

 有可能是其他问题,尝试launch simulation library compiler,可参考:

(73条消息) quartus II 15.0 中解决仿真报错 Error: (vsim-19) Failed to access library 的问题_HKBB的博客-CSDN博客icon-default.png?t=N4P3https://blog.csdn.net/u013753393/article/details/50350138/?ops_request_misc=&request_id=&biz_id=102&utm_term=quartus%E6%8A%A5%E9%94%99output%20dictionary&utm_medium=distribute.pc_search_result.none-task-blog-2~all~sobaiduweb~default-1-50350138.142^v88^control_2,239^v2^insert_chatgpt&spm=1018.2226.3001.4187

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值