Verilog 练习 补码转换

正数的补码与原码相同,负数的补码转换方式是符号位不变,幅度位按位取反加一。

 代码如下

//2021-11-2
//补码转换逻辑;
`timescale 1ns/1ps
module comp_conv(a,a_comp);
output[7:0] a_comp;
input[7:0] a;
//wire[7:0] y;

//assign  y[6:0]=~a[6:0]+1; //按位取反加一
//assign  y[7]=a[7];//符号位不变

//assign y={a[7],~a[6:0]+1};
//assign  a_comp=a[7]?y:a;
assign  a_comp=a[7]?{a[7],~a[6:0]+1}:a;

endmodule



//------testbench of comp_conv-----
module comp_conv_tb;
reg[7:0] a_in;
wire[7:0] y_out;

comp_conv comp_conv(.a(a_in),.a_comp(y_out));

initial begin
	a_in<=0;
	#2560 $stop;
end 

always#10 a_in<=a_in+1;

endmodule

仿真结果如下

 https://www.bilibili.com/video/BV1hX4y137Ph?p=3&spm_id_from=pageDriver

  • 3
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值