Verilog 练习 7段数码管译码器

 代码如下(testbench代码是我自己写的,仅供参考)

//2021-11-2
//7段码译码器;
`timescale 1ns/10ps
module seg_dec(num,a_g);
input[3:0] num;
output[6:0] a_g;  //a_g[6:0]-->{a,b,c,d,e,f,g};

reg[6:0] a_g;
always@(num) begin
	case(num)
	4'd0: a_g<=7'b111_1110;
	4'd1: a_g<=7'b011_0000;
	4'd2: a_g<=7'b110_1101;
	4'd3: a_g<=7'b111_1100;
	4'd4: a_g<=7'b011_0011;
	4'd5: a_g<=7'b101_1011;
	4'd6: a_g<=7'b101_1111;
	4'd7: a_g<=7'b111_0000;
	4'd8: a_g<=7'b111_1111;
	4'd9: a_g<=7'b111_1011;
	default: a_g<=7'b000_0001;
	endcase
end

endmodule

//----testbench of seg_dec----
module seg_dec_tb;
reg[3:0] num_in;
wire[7:0] a_g_out;

seg_dec seg_dec(.num(num_in),.a_g(a_g_out));

initial begin
	num_in=0;
	#140 $stop;
end 
 
always#10 num_in<=num_in+1;

endmodule

仿真结果如下

 https://www.bilibili.com/video/BV1hX4y137Ph?p=3&spm_id_from=pageDriver

  • 13
    点赞
  • 98
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值