ModelSim出现红色线不定态的可能情况

前言

在FPGA学习中常用到modelsim进行仿真,该贴用来记录我在modelsim仿真中出现红色线条出现的原因及解决方法,同时总结已有的网上的出现该现象的例子modelsim

检查

首先modelsim中出现红色线条,表示不确定状态,常与testbench文件的编写有关。

1.可以先检查一下仿真设置,确定仿真文件是否选择正确此处仿真文件选择错误,可能导致输入设置不对,状态不确定,出现红线

2.可以检查仿真文件`timescale指令是否正确,就我自己有次忘写后并没出现什么问题,也没有出现红线,但不能确定跟这个没关系,因为看网上其他说法有说到可能是这个指令导致的问题

3.仔细检查initial语句是否赋值正确,clk信号是否有准确赋值,变量位宽是否保持一致。很大概率问题就出现在此,极有可能没写赋值或没写准确导致状态不定

4.检查是否使用case语句,使用case语句不要用<=进行赋值

我的错误经历(TAT)

1.不严谨的赋值导致data[0]成了不定态,波形表现得很规则o(TヘTo),很有规律的出现了不定态

很自然的顺着写下来了

改完就好了

2.时钟信号赋值问题,之前一致没在意,系统时钟一直是很自然的用=赋初值,这次加了一个测试时钟,忘记用=赋初值了

时钟初值不用=,会在不定态之间一直跳转,就一直是不动态了o(TヘTo)

改完testbench文件就好了

相关推荐

Modelsim仿真常出现的错误及Fifo和RAM输出出现蓝线和红线_modelsim仿真出现红线_呓语煮酒的博客-CSDN博客

  • 0
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值