Modelsim仿真出现蓝线(Hiz高阻态)以及红线(x不定态)

Modelsim仿真出现蓝线(Hiz高阻态)以及红线(x不定态)

在这里插入图片描述
在这里插入图片描述
原代码

//方法 1 实现:仅实现分频功能
module  divider_six
(
	input   wire    sys_clk     ,  
	input   wire    sys_rst_n   ,  
	
	output  reg     clk_out        
);

reg [1:0]	cnt;

//cnt:计数器从 0 到 2 循环计数
always@(posedge sys_clk or negedge sys_rst_n) begin
	if(!sys_rst_n)
		cnt <= 2'b0;
	else if(cnt == 2'd2)
		cnt <= 2'b0;
	else
		cnt <= cnt + 1'b1;		
end

//clk_out:6 分频 50%占空比输出
always@(posedge sys_clk or negedge sys_rst_n) begin
	if(!sys_rst_n)
		clk_out <= 1'b0;
	else if(cnt == 2'd2)
		clk_out <= ~clk_out;
end

endmodule

testbench

`timescale  1ns/1ns

module	divider_six_tb();

reg		sys_clk		;
reg 	sys_rst_n	;

wire 	clk_out		;

initial begin
	sys_clk 	 = 1'b1;
	sys_rst_n	<= 1'b0;
	#20
	sys_rst_n	<= 1'b1;	
end

always #10 sys_clk = ~sys_clk;


divider_six	divider_six_inst
(
	.sys_clk	(sys_clk	),
	.sys_rst_n	(sys_rst_n	),
	
	.clk_out	(clk_out	)
);

endmodule

解决

最后发现问题所在,是setting设置错误,testbench的名字写错了,没有加_tb,导致跟tb文件的名字不对应
在这里插入图片描述

  • 6
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 6
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值